時序邏輯電路分析_第1頁
時序邏輯電路分析_第2頁
時序邏輯電路分析_第3頁
時序邏輯電路分析_第4頁
時序邏輯電路分析_第5頁
已閱讀5頁,還剩98頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、第第7章章 時序邏輯電路時序邏輯電路 學(xué)習(xí)要點:學(xué)習(xí)要點: 時序電路的分析方法和設(shè)計方法 計數(shù)器、寄存器等中規(guī)模集成電路的邏 輯功能和使用方法 第第7章章 時序邏輯電路時序邏輯電路 7.1 概述概述 1 1、時序電路的特點、時序電路的特點 組合電路 存儲電路 X1 Xp Y1 Ym Q1 Qt W1 Wr 輸入 輸出 時序電路在任何時刻的穩(wěn)定輸出,不僅與該時刻的 輸入信號有關(guān),而且還與電路原來的狀態(tài)有關(guān)。 2 2、時序電路邏輯功能的表示方法、時序電路邏輯功能的表示方法 時序電路的邏輯功能可用邏輯表達式、狀態(tài)表、卡諾圖、狀態(tài) 圖、時序圖和邏輯圖6種方式表示,這些表示方法在本質(zhì)上是相 同的,可以互

2、相轉(zhuǎn)換。 邏輯表達式有: tkQQQWWWHQ rjQQQXXXGW miQQQXXXFY n q nn rk n k n q nn pjj n q nn pii , 2 , 1 ),;,( , 2 , 1 ),;,( , 2 , 1 ),;,( 2121 1 2121 2121 輸出方程 狀態(tài)方程 激勵方程 3 3、時序電路的分類、時序電路的分類 (1) 根據(jù)時鐘分類 同步時序電路 中,各個觸發(fā)器的時鐘脈沖相同,即電路中有 一個統(tǒng)一的時鐘脈沖,每來一個時鐘脈沖,電路的狀態(tài)只改 變一次。 異步時序電路 中,各個觸發(fā)器的時鐘脈沖不同,即電路中沒 有統(tǒng)一的時鐘脈沖來控制電路狀態(tài)的變化,電路狀態(tài)改變

3、時, 電路中要更新狀態(tài)的觸發(fā)器的翻轉(zhuǎn)有先有后,是異步進行的。 *(2)根據(jù)輸出分類 米利型時序電路 的輸出不僅與現(xiàn)態(tài)有關(guān),而且還決定于電路 當(dāng)前的輸入。 穆爾型時序電路 的其輸出僅決定于電路的現(xiàn)態(tài),與電路當(dāng)前 的輸入無關(guān);或者根本就不存在獨立設(shè)置的輸出,而以電路 的狀態(tài)直接作為輸出。 7.2 時序邏輯電路的時序邏輯電路的 分析方法分析方法 電路圖電路圖 時鐘方程、時鐘方程、 驅(qū)動方程和驅(qū)動方程和 輸出方程輸出方程 狀態(tài)方程狀態(tài)方程 狀態(tài)圖、狀態(tài)圖、 狀態(tài)表或狀態(tài)表或 時序圖時序圖 判斷電路判斷電路 邏輯功能邏輯功能 12 3 5 7.2.1 同步時序邏輯電路的分析方法同步時序邏輯電路的分析方法

4、 計算計算 4 Y Q1 Q1 Q2 Q2 1J C1 1K 1J C1 1K 1J C1 1K & Q0 Q0 FF0 FF1 FF2 CP CPCPCPCP 012 nnQ QY 21 nn nn nn QKQJ QKQJ QKQJ 2020 0101 1212 時鐘方程: 輸出方程: 輸出僅與電路現(xiàn)態(tài)有關(guān), 為穆爾型時序電路。 同步時序電路的時 鐘方程可省去不寫。 驅(qū)動方程: 1 寫寫 方方 程程 式式 2求狀態(tài)方程求狀態(tài)方程 JK觸發(fā)器的特性方程: nnn QKQJQ 1 將各觸發(fā)器的驅(qū)動方程代入,即得電路的狀態(tài)方程: nnnnnnnn nnnnnnnn nnnnnnnn QQQQQQ

5、KQJQ QQQQQQKQJQ QQQQQQKQJQ 202020000 1 0 010101111 1 1 121212222 1 2 nn nn nn QKQJ QKQJ QKQJ 2020 0101 1212 現(xiàn) 態(tài)次 態(tài)輸 出 nnn QQQ 012 1 0 1 1 1 2 nnn QQQ Y 3計算、列狀態(tài)表計算、列狀態(tài)表 nn nn nn nn QQY QQ QQ QQ 12 2 1 0 0 1 1 1 1 2 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 0 0 0 0 1 0 1

6、0 0 1 1 0 0 0 0 0 1 1 0 0 000 10 0 0 1 0 1 1 1 2 Y Q Q Q n n n 000 10 1 0 1 0 1 1 1 2 Y Q Q Q n n n 000 10 0 1 1 0 1 1 1 2 Y Q Q Q n n n 000 10 1 1 1 0 1 1 1 2 Y Q Q Q n n n 110 01 0 0 1 0 1 1 1 2 Y Q Q Q n n n 110 01 1 0 1 0 1 1 1 2 Y Q Q Q n n n 000 01 0 1 1 0 1 1 1 2 Y Q Q Q n n n 000 01 1 1 1 0

7、1 1 1 2 Y Q Q Q n n n 4畫狀態(tài)圖、時序圖畫狀態(tài)圖、時序圖 000001011 /1/0 100110111 /0 /0 /0 /0 (a) 有效循環(huán) 010 101 (b) 無效循環(huán) /0 /1 排列順序: /Y nnn QQQ 012 狀態(tài)圖狀態(tài)圖 CP Q0 Q1 Q2 Y 5 電電 路路 功功 能能 時時 序序 圖圖 有效循環(huán)的6個狀態(tài)分別是05這6個十進制數(shù)字的格 雷碼,并且在時鐘脈沖CP的作用下,這6個狀態(tài)是按 遞增規(guī)律變化的,即: 000001011111110100000 所以這是一個用格雷碼表示的六進制同步加法計數(shù)器。 當(dāng)對第6個脈沖計數(shù)時,計數(shù)器又重新從

8、000開始計數(shù), 并產(chǎn)生輸出Y1。 Q0 Q0 FF0 FF1 CP Y Q1 Q1 1T C1 1T C1 & =1 X “1” 輸出方程: 輸出與輸入有關(guān), 為米利型時序電路。 同步時序電路,時鐘方程省去。 驅(qū)動方程: 1 寫寫 方方 程程 式式 nn QXQXY 11 1 0 01 T QXT n nnnn nnnn QQQTQ QQXQTQ 00000 1011 1 1 1 2求狀態(tài)方程求狀態(tài)方程 T觸發(fā)器的特性方程: 將各觸發(fā)器的驅(qū)動方程代入,即得電路的狀態(tài)方程: nn QTQ 1 3計算、列狀態(tài)表計算、列狀態(tài)表 輸入 現(xiàn) 態(tài) 次 態(tài) 輸出 X nn QQ 01 1 0 1 1 nn

9、 QQ Y 0 0 0 0 1 1 1 1 0 0 0 1 1 0 1 1 0 0 0 1 1 0 1 1 0 1 1 0 1 1 0 0 1 1 0 0 0 1 1 0 1 1 1 1 0 0 1 1 n nn nnn QXY QQ QQXQ 1 0 1 0 01 1 1 100 10 0000 1 0 1 1 Y Q Q n n 100 01 1100 1 0 1 1 Y Q Q n n 110 10 1010 1 0 1 1 Y Q Q n n 110 01 0110 1 0 1 1 Y Q Q n n 001 10 1001 1 0 1 1 Y Q Q n n 001 01 0101

10、1 0 1 1 Y Q Q n n 111 10 0011 1 0 1 1 Y Q Q n n 111 01 1111 1 0 1 1 Y Q Q n n 4 00 01 11 10 0/1 1/0 1/1 0/1 0/1 0/0 1/1 0/1 CP X Q0 Q1 Y (a) 狀態(tài)圖(b) 時序圖 5 電電 路路 功功 能能 由狀態(tài)圖可以看出,當(dāng)輸入X 0時,在時鐘脈沖CP 的作用下,電路的4個狀態(tài)按遞增規(guī)律循環(huán)變化,即: 0001101100 當(dāng)X1時,在時鐘脈沖CP的作用下,電路的4個狀態(tài) 按遞減規(guī)律循環(huán)變化,即: 0011100100 可見,該電路既具有遞增計數(shù)功能,又具有遞減計數(shù)

11、功能,是一個2位二進制同步可逆計數(shù)器。 畫狀態(tài)圖畫狀態(tài)圖 時序圖時序圖 CP Q2 Q2 1D C1 1D C1 Q1 Q1 FF0 FF1 FF2 1D C1 Q0 Q0 電路沒有單獨的輸出,為穆爾型時序電路。 異步時序電路,時鐘方程: 驅(qū)動方程: 1 寫寫 方方 程程 式式 CPCPQCPQCP 00112 , nnn QDQDQD 001122 , 7.2.2 異步時序邏輯電路的分析方法異步時序邏輯電路的分析方法 上升沿時刻有效 上升沿時刻有效 上升沿時刻有效 CP Q Q 00 1 0 011 1 1 122 1 2 nn nn nn QDQ QDQ QDQ DQ n 1 2求狀態(tài)方程

12、求狀態(tài)方程 D觸發(fā)器的特性方程: 將各觸發(fā)器的驅(qū)動方程代入,即得電路的狀態(tài)方程: 3計算、列狀態(tài)表計算、列狀態(tài)表 現(xiàn) 態(tài)次 態(tài)注 nnn QQQ 012 1 0 1 1 1 2 nnn QQQ時鐘條件 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 1 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 CP0 CP1 CP2 CP0 CP0 CP1 CP0 CP0 CP1 CP2 CP0 CP0 CP1 CP0 CP Q Q 0 1 0 01 1 1 12 1 2 nn nn nn QQ QQ QQ CP

13、, 10 Q, 10 Q , 10 1 0 0 1 1 1 1 2 n n n Q Q Q CP, 01 0 0 1 0 1 1 1 2 n n n Q Q Q 不變 不變 CP, 10 Q, 01 0 1 0 0 1 1 1 2 n n n Q Q Q不變 CP, 01 1 0 1 0 1 1 1 2 n n n Q Q Q 不變 不變 CP, 10 Q, 10 Q , 01 1 0 0 1 1 1 1 2 n n n Q Q Q CP, 01 0 , 1 1 0 1 1 1 2 n n n Q Q Q 不變 不變 CP, 10 Q, 01 1 1 0 0 1 1 1 2 n n n Q Q

14、 Q不變 CP, 01 1 1 1 0 1 1 1 2 n n n Q Q Q 不變 不變 000001010011 111110101100 (a) 狀態(tài)圖 (b) 時序圖 CP Q0 Q1 Q2 排列順序: nnn QQQ 012 4 5電路功能電路功能 由狀態(tài)圖可以看出,在時鐘脈沖CP的作用下,電路的8個狀 態(tài)按遞減規(guī)律循環(huán)變化,即: 000111110101100011010001000 電路具有遞減計數(shù)功能,是一個3位二進制異步減法計數(shù)器。 畫狀態(tài)圖、時序圖畫狀態(tài)圖、時序圖 本節(jié)小結(jié): 時序電路的特點是:在任何時刻的輸出不僅和時序電路的特點是:在任何時刻的輸出不僅和 輸入有關(guān),而且還

15、決定于電路原來的狀態(tài)。為了記憶輸入有關(guān),而且還決定于電路原來的狀態(tài)。為了記憶 電路的狀態(tài),時序電路必須包含有存儲電路。存儲電電路的狀態(tài),時序電路必須包含有存儲電路。存儲電 路通常以觸發(fā)器為基本單元電路構(gòu)成。路通常以觸發(fā)器為基本單元電路構(gòu)成。 時序電路可分為同步時序電路和異步時序電路時序電路可分為同步時序電路和異步時序電路 兩類。它們的主要區(qū)別是,前者的所有觸發(fā)器受同一兩類。它們的主要區(qū)別是,前者的所有觸發(fā)器受同一 時鐘脈沖控制,而后者的各觸發(fā)器則受不同的脈沖源時鐘脈沖控制,而后者的各觸發(fā)器則受不同的脈沖源 控制??刂?。 時序電路的邏輯功能可用邏輯圖、狀態(tài)方程、時序電路的邏輯功能可用邏輯圖、狀態(tài)

16、方程、 狀態(tài)表、卡諾圖、狀態(tài)圖和時序圖等狀態(tài)表、卡諾圖、狀態(tài)圖和時序圖等6 6種方法來描述,種方法來描述, 它們在本質(zhì)上是相通的,可以互相轉(zhuǎn)換。它們在本質(zhì)上是相通的,可以互相轉(zhuǎn)換。 時序電路的分析,就是由邏輯圖到狀態(tài)圖的轉(zhuǎn)換。時序電路的分析,就是由邏輯圖到狀態(tài)圖的轉(zhuǎn)換。 7.3 計數(shù)器計數(shù)器 在數(shù)字電路中,能夠記憶輸入脈沖個數(shù)的電路稱為計數(shù)器。 計 數(shù) 器 二進制計數(shù)器 十進制計數(shù)器 N進制計數(shù)器 加法計數(shù)器 同步計數(shù)器 異步計數(shù)器 減法計數(shù)器 可逆計數(shù)器 加法計數(shù)器 減法計數(shù)器 可逆計數(shù)器 二進制計數(shù)器 十進制計數(shù)器 N進制計數(shù)器 7.3.1 異步計數(shù)器異步計數(shù)器 74LS290為異步二五十

17、進制加法計數(shù)器。其 新、老標(biāo)準(zhǔn)邏輯符號及內(nèi)部邏輯圖分別如圖12.5(a)、 (b)、(c)所示。它由四個下降沿觸發(fā)的JK觸發(fā)器和兩個 與非門組成。由圖可見,它是兩個獨立的計數(shù)器。 一、集成異步計數(shù)器一、集成異步計數(shù)器CT74LS90CT74LS90 圖12.5 74LS290的新標(biāo)準(zhǔn)和老標(biāo)準(zhǔn)邏輯符號及內(nèi)部邏輯圖 (a)新標(biāo)準(zhǔn)邏輯符號; (b)老標(biāo)準(zhǔn)邏輯符號; (c)內(nèi)部邏輯圖 (12) (13) (1) (3) (10) (11) (9) (5) (4) (8) & & CTR CT0 Z3 DIV2 DIV5 3CT1 3CT4 0 2 CT Q0 Q1 Q2 Q3 R0A R0B S9A

18、S9B CP0 CP1 (a) 圖12.5 74LS290的新標(biāo)準(zhǔn)和老標(biāo)準(zhǔn)邏輯符號及內(nèi)部邏輯圖 (a)新標(biāo)準(zhǔn)邏輯符號; (b)老標(biāo)準(zhǔn)邏輯符號; (c)內(nèi)部邏輯圖 74LS290 Q0Q1Q2Q3 S9AS9BR0AR0B (10) (11) (9) (5) (4) (8) (1) (3) (12)(13) (b) 圖12.5 74LS290的新標(biāo)準(zhǔn)和老標(biāo)準(zhǔn)邏 輯符號及內(nèi)部邏輯圖 (a)新標(biāo)準(zhǔn)邏輯符號; (b)老標(biāo)準(zhǔn)邏輯符號; (c)內(nèi)部邏輯圖 F0 1J C1 R F1 T R F2 1J 1K F3 1 1 & R C1 S & & (1)S9A (3)S9B (10)CP0 (11)CP1

19、 (13)R0A (12)R0B Q0(9) Q1(5) Q2(4) Q3(8) (c) S T R 觸發(fā)器F0構(gòu)成一位二進制計數(shù)器,對CP0計數(shù);觸發(fā) 器F1、F2和F3組成異步五進制計數(shù)器,對CP1計數(shù)。若將 Q0輸出端接至CP1端,計數(shù)脈沖由CP0輸入,則構(gòu)成 8421BCD碼十進制計數(shù)器,連接電路如圖12.6(a)所示; 若將Q3輸出端接至CP0端,計數(shù)脈沖由CP1輸入,則構(gòu)成 5421BCD碼十進制計數(shù)器,連接電路如圖12.6(b)所示。 狀態(tài)轉(zhuǎn)移表見表12.5。 74LS290 Q0Q1Q2Q3 S9AS9BR0AR0B CP0 CP1 74LS290 Q0Q1Q2Q3 S9AS9

20、BR0AR0B CP0 CP1CP (a)(b) 圖12.6 74LS290組成的十進制計數(shù)器 (a)8421BCD碼十進制計數(shù);(b)5421BCD碼十進制計數(shù) 表12.5狀態(tài)轉(zhuǎn)移表 74LS290的功能表見表12.6,它具有如下功能: (1)直接清零。當(dāng)R0A和R0B為高電平、S9A和S9B至 少有一個為低電平時,各觸發(fā)器Rd端均為低電平,觸發(fā) 器輸出均為零,實現(xiàn)清零功能。由于清零功能與時鐘無 關(guān),故這種清零稱為異步清零。 (2)直接置9(輸出為1001)。當(dāng)S9A和S9B為高電 平,R0A和R0B至少有一個為低電平時,觸發(fā)器F0和F3的Sd 端及觸發(fā)器F1和F2的Rd端為低電平,觸發(fā)器輸

21、出為1001, 實現(xiàn)直接置9功能。 表12.6 功能表 (3)計數(shù)。當(dāng)R0A、R0B及S9A、S9B輸入均為低 電平時,門R和門S輸出均為高電平,各JK觸發(fā)器恢復(fù) 正常功能(實現(xiàn)計數(shù)功能)。使用時,務(wù)必按功能表 的要求,使R0和S9各輸入端滿足給定的條件,在輸入 時鐘脈沖的下降沿計數(shù)。 (4)功能擴展。用少量邏輯門,通過對74LS290外 部不同方式的連接,可以組成任意進制計數(shù)器。 例例12.5 用74LS290組成七進制計數(shù)器。 解解 首先,將74LS290的CP1端與Q0端相接,使它組成 8421BCD碼十進制計數(shù)器。其次,七進制計數(shù)器有7個有 效狀態(tài)00000110,可由十進制計數(shù)器采用

22、一定的方法使 它跳越3個無效狀態(tài)01111001而實現(xiàn)七進制計數(shù)。 當(dāng)計數(shù)器從0000開始計數(shù)到0110,第7個脈沖的下降 沿到來時,強迫計數(shù)器返回到0000狀態(tài),向高位產(chǎn)生進 位。但按74LS290的計數(shù)規(guī)律,當(dāng)計數(shù)到0110時,下一 個計數(shù)狀態(tài)為0111,不可能返回至零。因此在電路上采 用反饋歸零法,將反饋歸零信號由0111引回(即R0 Q2Q1Q0)。當(dāng)?shù)?個脈沖下降沿到來時,狀態(tài)由0110 (0111)0000,顯然0111僅是由01100000的過渡狀 態(tài)。其連接圖和波形圖如圖12.7所示。 74LS290 Q0Q1Q2Q3 S9AS9BR0AR0B CP0 CP1 & CP (a)

23、 圖12.7 七進制計數(shù)器電路圖及波形圖 例例12.6用兩塊74LS290分別組成百進制和二十四進 制計數(shù)器。 解解 將兩塊74LS290進行級聯(lián),組成的百進制計數(shù) 器如圖12.8所示。其中,Q30Q20Q10Q00為個位輸出, Q31Q21Q11Q01為十位輸出。 圖12.7 七進制計數(shù)器電路圖及波形圖 12345678 CP Q0 Q1 Q2 (b) 74LS290 Q0Q1Q2Q3 S9AS9BR0AR0B CP0 CP1 74LS290 Q0Q1Q2Q3 S9AS9BR0AR0B CP0 CP1 Q00Q10Q20Q30Q01Q11Q21Q31 CP (a)(b) 圖12.8 74LS

24、290擴展為百進制計數(shù)器 在百進制基礎(chǔ)上,采用反饋歸零法即可組成二十 四進制計數(shù)器。計數(shù)范圍為023,24為過渡狀態(tài),當(dāng) 高位計數(shù)至2、低位計數(shù)至4時,計數(shù)器歸零。將Q20和 Q11直接與R0A和R0B連接,即組成二十四進制計數(shù)器。 電路如圖12.9所示。 74LS290 Q0Q1Q2Q3 S9AS9BR0AR0B CP0 CP1 74LS290 Q0Q1Q2Q3 S9AS9BR0AR0B CP0 CP1 Q00Q10Q20Q30Q01Q11Q21Q31 CP & (a)(b) 圖12.9 二十四進制計數(shù)器 CP1 R0A R0B NC VCC S0A S0B 14 13 12 11 10 9

25、 8 74LS90 1 2 3 4 5 6 7 CP0 NC Q0 Q3 GND Q1 Q2 74LS90 S0A S0B R0A R0B Q0 Q3 Q1 Q2 CP0 CP1 (a) 引腳排列圖(b) 邏輯功能示意圖 輸 入輸 出 R 0A R0B S0A S0B CP0 CP1 1 3 1 2 1 1 1 0 nnnn QQQQ 1 1 0 1 1 0 1 1 0 0 0 0 0 0 0 0 Q 0 0 0 Q 1 0 0 0 0 (清 零 ) 0 0 0 0 (清 零 ) 1 0 0 1 (置9) 二 進 制 計 數(shù) 五 進 制 計 數(shù) 8421碼 十 進 制 計 數(shù) 5421碼 十

26、進 制 計 數(shù) 二、集成異步計數(shù)器二、集成異步計數(shù)器CT74LS90 CT74LS90 7.3.2 集成同步計數(shù)器集成同步計數(shù)器 集成十進制同步加法計數(shù)器74160、74162的引腳 排列圖、邏輯功能示意圖與74161、74163相同,不同 的是,74160和74162是十進制同步加法計數(shù)器,而 74161和74163是4位二進制(16進制)同步加法計數(shù)器。 此外,74160和74162的區(qū)別是,74160采用的是異步清 零方式,而74162采用的是同步清零方式。 74190是單時鐘集成十進制同步可逆計數(shù)器,其引 腳排列圖和邏輯功能示意圖與74191相同。 74192是雙時鐘集成十進制同步可逆

27、計數(shù)器,其引 腳排列圖和邏輯功能示意圖與74193相同。 74LS161 Q0 Q1 Q2 Q3 (b) 邏輯功能示意圖 (a) 引腳排列圖 16 15 14 13 12 11 10 9 74LS161 1 2 3 4 5 6 7 8 VCC CO Q0 Q1 Q2 Q3 CTT LD CR CP D0 D1 D2 D3 CTP GND CR D0 D1 D2 D3 CTT CTP CP CO LD 一、一、4 4位集成二進制同步加法計數(shù)器位集成二進制同步加法計數(shù)器74LS161/16374LS161/163 CR=0時異步清零。CR=1、LD=0時同步置數(shù)。 CR=LD=1且CPT=CPP=

28、1時,按照4位自然二進制碼進行 同步二進制計數(shù)。 CR=LD=1且CPTCPP=0時,計數(shù)器狀態(tài)保持不變。 74LS161是一種同步四位二進制加法集成計數(shù)器。下圖 是其管腳排列圖和邏輯功能示意圖。 VCCCOQ0Q1Q2Q3CTTLD 169 81 74LS161 CRCPD0D1D2D3CTP地 74LS161管腳排列圖 邏輯電路圖 74LS161邏輯功能表邏輯功能表 CTPCTTCP Q3 Q2 Q1 Q0 0 1 1 1 1 0 1 1 1 0 1 0 1 0 0 0 0 D3 D2 D1 D0 Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0 加法計數(shù) CRLD 當(dāng)復(fù)位端 =0時,輸出Q

29、3Q2Q1Q0全為零,實現(xiàn)異步 清除功能(又稱復(fù)位功能)。 當(dāng) =“1”,預(yù)置控制端 =“0”,并且 CP=CP時, Q3Q2Q1Q0=D3D2D1D0,實現(xiàn)同步預(yù)置數(shù)功能。 CR CRLD 功能分析:功能分析: 當(dāng) = =“1”且CTPCTT=0時,輸出 Q3Q2Q1Q0保持不變。 當(dāng) = =CTP=CTT=“1”,并且CP=CP時, 計數(shù)器才開始加法計數(shù),實現(xiàn)計數(shù)功能。電路為四位二 進制加法計數(shù)器。在CP脈沖作用下,電路按自然二進制 遞加,即由000000011111。當(dāng)計到1111時,進 位輸出端CO送出進位信號,即CO=Q3Q2Q1Q0=1。 CRLD LDCR 二、集成十進制同步加法

30、計數(shù)器二、集成十進制同步加法計數(shù)器74LS160/16274LS160/162 集成十進制同步加法計數(shù)器74160、74162的引腳 排列圖、邏輯功能示意圖與74161、74163相同。與 74161相比,(1)74160是十進制計數(shù)器;(2)注意 74160在計數(shù)時CO=Q3Q0 74160和74162的區(qū)別是,74160采用的是異步清零方 式,而74162采用的是同步清零方式。 三、集成十進制同步加三、集成十進制同步加/ /減可逆計數(shù)器減可逆計數(shù)器74LS190/19174LS190/191 74190是單時鐘集成十進制同步可逆計數(shù)器,其 引腳排列圖和邏輯功能示意圖與74191相同。 D1

31、 Q1 Q0 CT U/D Q2 Q3 GND RC CO/BO LD 74LS191 Q0 Q1 Q2 Q3 (b) 邏輯功能示意圖(a) 引腳排列圖 16 15 14 13 12 11 10 9 74LS191 1 2 3 4 5 6 7 8 VCC D0 CP RC CO/BO LD D2 D3 D0 D1 D2 D3 CT U/D CP U/D是加減計數(shù)控制端;CT是使能端;LD是異步置數(shù)控制端; D0D3是并行數(shù)據(jù)輸入端;Q0Q3是計數(shù)器狀態(tài)輸出端; CO/BO是進位借位信號輸出端;RC是多個芯片級聯(lián)時級間串行 計數(shù)使能端,CT0,CO/BO1時,RCCP,由RC端產(chǎn)生的 輸出進位脈

32、沖的波形與輸入計數(shù)脈沖的波形相同。 4位集成二進制同步可逆計數(shù)器 位集成二進制同步可逆計數(shù)器74LS193 BO CO LD 74LS193 Q0 Q1 Q2 Q3 (b) 邏輯功能示意圖(a) 引腳排列圖 16 15 14 13 12 11 10 9 74LS193 1 2 3 4 5 6 7 8 VCC D0 CR CO BO LD D2 D3 D1 Q1 Q0 CPD CPU Q2 Q3 GND D0 D1 D2 D3 CR CPU CPD CR是異步清零端,高電平有效;LD是異步置數(shù)端,低電平有效; CPU是加法計數(shù)脈沖輸入端;CPD是減法計數(shù)脈沖輸入端; D0 D3是并行數(shù)據(jù)輸入端;

33、Q0Q3是計數(shù)器狀態(tài)輸出端; CO是進位 脈沖輸出端;BO是借位脈沖輸出端;多個74LS193級聯(lián)時,只要 把低位的CO端、BO端分別與高位的CPU、CPD連接起來,各個芯 片的CR端連接在一起,LD端連接在一起,就可以了。 類型 型 號 功 能 計數(shù)器 7468 74LS90 74LS92 74LS93 74LS160 74LS161 雙十進制計數(shù)器 十進制計數(shù)器 十二分頻計數(shù)器 4位二進制計數(shù)器 同步十進制計數(shù)器 4位二進制同步計數(shù)器(異步清除) 常用計數(shù)器 類型 型 號 功 能 計數(shù)器74LS162 74LS163 74LS168 74LS169 74LS190 74LS191 74LS

34、192 74LS193 74LS196 74LS197 十進制同步計數(shù)器(同步清除) 4位二進制同步計數(shù)器(同步清除) 可預(yù)置制十進制同步加/減計數(shù)器 可預(yù)置4位二進制同步加/減計數(shù)器 可預(yù)置十進制同步加/減計數(shù)器 可預(yù)置制4位二進制同步加/減計數(shù)器 可預(yù)置十進制同步加/減計數(shù)器(雙時鐘) 可預(yù)置4位二進制同步加/減計數(shù)器(雙時鐘) 可預(yù)置十進制計數(shù)器 可預(yù)置二進制計數(shù)器 續(xù)表(續(xù)表(2) 類型 型 號 功 能 計數(shù)器74LS290 74LS293 74LS390 74LS393 74LS490 74LS568 74LS569 74LS668 74LS669 十進制計數(shù)器 4 位二進制計數(shù)器

35、雙4位十進制計數(shù)器 雙4位二進制計數(shù)器(以不清楚) 雙4位十進制計數(shù)器 可預(yù)置十進制同步加/減計數(shù)器(三態(tài)) 可預(yù)置二進制同步加/減計數(shù)器(三態(tài)) 十進制同步加/減計數(shù)器 二進制同步加/減計數(shù)器 續(xù)表(續(xù)表(3) 類型 型 號 功 能 計數(shù)器74LS690 74LS691 74LS692 74LS693 74LS696 74LS697 74LS698 74LS699 可預(yù)置十進制同步計數(shù)器/寄存器(直接清除、三態(tài)) 可預(yù)置二進制同步計數(shù)器/寄存器(直接清除、三態(tài)) 可預(yù)置十進制同步計數(shù)器/寄存器(同步清除、三態(tài)) 可預(yù)置二進制同步計數(shù)器/寄存器(同步清除、三態(tài)) 十進制同步加/減計數(shù)器(三態(tài)、

36、直接清除) 二進制同步加/減計數(shù)器(三態(tài)、直接清除) 十進制同步加/減計數(shù)器(三態(tài)、同步清除) 二進制同步加/減計數(shù)器(三態(tài)、同步清除) 續(xù)表(續(xù)表(4) 部分常用部分常用MSI計數(shù)器的型號及基本特性計數(shù)器的型號及基本特性 7.3.3 N進制計數(shù)器進制計數(shù)器 1 1、用同步清零端或置數(shù)、用同步清零端或置數(shù) 端歸零構(gòu)成端歸零構(gòu)成N進置計數(shù)器進置計數(shù)器 2 2、用異步清零端或置數(shù)、用異步清零端或置數(shù) 端歸零構(gòu)成端歸零構(gòu)成N進置計數(shù)器進置計數(shù)器 (1)寫出狀態(tài)SN-1的二進 制代碼。 (2)求歸零邏輯,即求同 步清零端或置數(shù)控制端信 號的邏輯表達式。 (3)畫連線圖。 (1)寫出狀態(tài)SN的二進制 代

37、碼。 (2)求歸零邏輯,即求異 步清零端或置數(shù)控制端信 號的邏輯表達式。 (3)畫連線圖。 利用集成計數(shù)器的清零端和置數(shù)端實現(xiàn)歸零,從而構(gòu)成按自然 態(tài)序進行計數(shù)的N進制計數(shù)器的方法。 在前面介紹的集成計數(shù)器中,清零、置數(shù)均采用同步方式的有 74LS163;均采用異步方式的有74LS193、74LS197、74LS192; 清零采用異步方式、置數(shù)采用同步方式的有74LS161、 74LS160;有的只具有異步清零功能,如CC4520、74LS190、 74LS191;74LS90則具有異步清零和異步置9功能。 用74LS163來構(gòu)成一個十二進制計數(shù)器。 (1)寫出狀態(tài)SN-1的二進制代碼。 (3

38、)畫連線圖。 CO LD CR Q0 Q1 Q2 Q3 D0 D1 D2 D3 CTT CTP CP & 1 1 (a) 用 同 步清 零 端 CR 歸 零 74LS163 013111 111,QQQPPPPLDCR N N SN-1S12-1S111011 (2)求歸零邏輯。 D0D3可隨意處理可隨意處理D0D3必須都接必須都接0 CO LD CR Q0 Q1 Q2 Q3 D0 D1 D2 D3 CTT CTP CP & 1 1 (b) 用同 步 置 數(shù) 端 LD 歸 零 74LS163 用74LS161來構(gòu)成一個十二進制計數(shù)器。 23Q QCR SNS121100 D0D3可隨意處理可隨

39、意處理D0D3必須都接必須都接0 CO LD CR Q0 Q1 Q2 Q3 D0 D1 D2 D3 CTT CTP CP & 1 1 (a) 用異步清零端 CR 歸零 74LS161 用 異 步 清 零 端CR歸 零用 同 步 置 數(shù) 端LD歸 零 SN-1S111011 013 QQQLD CO LD CR Q0 Q1 Q2 Q3 D0 D1 D2 D3 CTT CTP CP & 1 1 (b) 用同步置數(shù)端 LD 歸零 74LS161 3 3、提高歸零可靠性的方法、提高歸零可靠性的方法 CO LD CR Q0 Q1 Q2 Q3 D0 D1 D2 D3 CTT CTP CP & 1 1 &

40、Q Q 74LS161 利用一個基本 RS觸發(fā)器將CR或0LD暫存一下,從而 保證歸零信號有足夠的作用時間,使計數(shù)器能夠可靠歸零。 CT /LD CR Q0 Q1 Q2 Q3 D0 D1 D2 D3 CP1 CP & 1 & Q Q CP0 74LS197 使用 CP 下降沿觸發(fā)的集成計數(shù) 器時,電路中需增加一個反相器。 4 4、計數(shù)器容量的擴展、計數(shù)器容量的擴展 異步計數(shù)器一般沒有專門的進位信號輸出端,通??梢杂?本級的高位輸出信號驅(qū)動下一級計數(shù)器計數(shù),即采用串行 進位方式來擴展容量。 CP1 Q0 Q1 Q2 Q3 S9A S9B R0A R0B CP1 CP CP0 74LS90(個位)

41、 N1=10 Q0 Q1 Q2 Q3 S9A S9B R0A R0B CP0 74LS90(十位) N2=10 CP1 Q0 Q1 Q2 Q3 CP1 CP CP0 74LS90(個位) Q0 Q1 Q2 Q3 CP0 74LS90(十位) S9A S9B R0A R0B S9A S9B R0A R0B & CP1 Q0 Q1 Q2 Q3 CP1 CP CP0 74LS90(個位) N1=10 Q0 Q1 Q2 Q3 CP0 74LS90(十位) N2=6 S9A S9B R0A R0B S9A S9B R0A R0B 同步計數(shù)器有進位或借位輸出端,可以選擇合適的進位或借位 輸出信號來驅(qū)動下一

42、級計數(shù)器計數(shù)。同步計數(shù)器級聯(lián)的方式有 兩種,一種級間采用串行進位方式,即異步方式,這種方式是 將低位計數(shù)器的進位輸出直接作為高位計數(shù)器的時鐘脈沖,異 步方式的速度較慢。另一種級間采用并行進位方式,即同步方 式,這種方式一般是把各計數(shù)器的CP端連在一起接統(tǒng)一的時鐘 脈沖,而低位計數(shù)器的進位輸出送高位計數(shù)器的計數(shù)控制端。 D4 D5 D6 D7 CTT CTP CP CTT CTP CP CO LD CR 74LS161(0) Q0 Q1 Q2 Q3 D0 D1 D2 D3 CTT CTP CP 1 1 1 CO LD CR Q4 Q5 Q6 Q7 1 1 CO LD CR Q8 Q9 Q10 Q

43、11 D8 D9 D10 D11 1 1 74LS161(1) 74LS161(2) D4 D5 D6 D7 CTT CTP CP CTT CTP CP CO LD CR 74LS161(0) Q0 Q1 Q2 Q3 D0 D1 D2 D3 CTT CTP CP 1 1 1 CO LD CR Q4 Q5 Q6 Q7 1 1 CO LD CR Q8 Q9 Q10 Q11 D8 D9 D10 D11 1 1 74LS161(1) 74LS161(2 1 在此種接線方式中,只要片1的各位輸出都為1,一旦片0的各 位輸出都為1,片2立即可以接收進位信號進行計數(shù),不會像 基本接法中那樣,需要經(jīng)歷片1的傳

44、輸延遲,所以工作速度較 高。這種接線方式的工作速度與計數(shù)器的位數(shù)無關(guān)。 本節(jié)小結(jié): 計數(shù)器是一種應(yīng)用十分廣泛的時序電路,除計數(shù)器是一種應(yīng)用十分廣泛的時序電路,除 用于計數(shù)、分頻外,還廣泛用于數(shù)字測量、運算用于計數(shù)、分頻外,還廣泛用于數(shù)字測量、運算 和控制,從小型數(shù)字儀表,到大型數(shù)字電子計算和控制,從小型數(shù)字儀表,到大型數(shù)字電子計算 機,幾乎無所不在,是任何現(xiàn)代數(shù)字系統(tǒng)中不可機,幾乎無所不在,是任何現(xiàn)代數(shù)字系統(tǒng)中不可 缺少的組成部分。缺少的組成部分。 計數(shù)器計數(shù)器可利用觸發(fā)器和門電路構(gòu)成。但在實可利用觸發(fā)器和門電路構(gòu)成。但在實 際工作中,主要是利用集成計數(shù)器來構(gòu)成。在用際工作中,主要是利用集成計

45、數(shù)器來構(gòu)成。在用 集成計數(shù)器構(gòu)成集成計數(shù)器構(gòu)成N進制計數(shù)器時,需要利用清零進制計數(shù)器時,需要利用清零 端或置數(shù)控制端,讓電路跳過某些狀態(tài)來獲得端或置數(shù)控制端,讓電路跳過某些狀態(tài)來獲得N N 進制計數(shù)器。進制計數(shù)器。 7.4 寄存器和移位寄存器寄存器和移位寄存器 在數(shù)字電路中,用來存放二進制數(shù)據(jù)或代碼的電 路稱為寄存器。 寄存器是由具有存儲功能的觸發(fā)器組合起來構(gòu)成 的。一個觸發(fā)器可以存儲1位二進制代碼,存放n位二 進制代碼的寄存器,需用n個觸發(fā)器來構(gòu)成。 按照功能的不同,可將寄存器分為基本寄存器和 移位寄存器兩大類?;炯拇嫫髦荒懿⑿兴腿霐?shù)據(jù), 需要時也只能并行輸出。移位寄存器中的數(shù)據(jù)可以在 移

46、位脈沖作用下依次逐位右移或左移,數(shù)據(jù)既可以并 行輸入、并行輸出,也可以串行輸入、串行輸出,還 可以并行輸入、串行輸出,串行輸入、并行輸出,十 分靈活,用途也很廣。 3. 寄存器寄存器 一、單拍工作方式基本寄存器一、單拍工作方式基本寄存器 D1 1D C1 Q0 Q0 D0 FF0 1D C1 Q1 Q1 FF1 1D C1 Q2 Q2 D2 FF2 1D C1 Q3 Q3 D3 FF3 CP 無論寄存器中原來的內(nèi)容是什么,只要送數(shù)控制時鐘脈沖CP 上升沿到來,加在并行數(shù)據(jù)輸入端的數(shù)據(jù)D0D3,就立即被 送入進寄存器中,即有: 0123 1 0 1 1 1 2 1 3 DDDDQQQQ nnnn

47、 二、雙拍工作方式基本寄存器二、雙拍工作方式基本寄存器 CP D1 1D C1 Q0 Q0 D0 FF0 1D C1 Q1 Q1 FF1 1D C1 Q2 Q2 D2 FF2 1D C1 Q3 Q3 D3 FF3 CR RDRDRDRD 0000 1 0 1 1 1 2 1 3 nnnn QQQQ (1)清零。CR=0,異步清零。即有: 0123 1 0 1 1 1 2 1 3 DDDDQQQQ nnnn (2)送數(shù)。CR=1時,CP上升沿送數(shù)。即有: (3)保持。在CR=1、CP上升沿以外時間,寄存器內(nèi)容將 保持不變。 7.4.2 移位寄存器移位寄存器 一、單向移位寄存器一、單向移位寄存器

48、Q0 Q1 Q2 Q3Di D0 D1 D2 D3 1D C1 1D C1 1D C1 1D C1 Q0 Q1 Q2 Q3 FF0 FF1 FF2 FF3 CP 移位時鐘脈沖 右移 輸出 右移 輸入 Q0 Q1 Q2 Q3 并行輸出 4位右移 移位寄存器 CPCPCPCPCP 3210 nnn i QDQDQDDD 2312010 、 nnnnnn i n QQQQQQDQ 2 1 31 1 20 1 1 1 0 、 時鐘方程: 驅(qū)動方程: 狀態(tài)方程: Q0 Q1 Q2 Q3Di D0 D1 D2 D3 1D C1 1D C1 1D C1 1D C1 Q0 Q1 Q2 Q3 FF0 FF1 F

49、F2 FF3 CP 移位時鐘脈沖 右移 輸出 右移 輸入 Q0 Q1 Q2 Q3 輸入現(xiàn)態(tài)次態(tài) Di CP nnnn QQQQ 3210 1 3 1 2 1 1 1 0 nnnn QQQQ 說明 1 1 1 1 0 0 0 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 連續(xù)輸入 4 個 1 Q0 Q1 Q2 Q3 FF0 FF1 FF2 FF3 D0 D1 D2 D3 1D C1 1D C1 1D C1 1D C1 Q0 Q1 Q2 Q3 CP 移位時鐘脈沖 左移輸出 左移輸入 Di Q0 Q1 Q2 Q3 并行輸出 4位左

50、移 移位寄存器 CPCPCPCPCP 3210 i nnn DDQDQDQD 3322110 、 i nnnnnnn DQQQQQQQ 1 33 1 22 1 11 1 0 、 時鐘方程: 驅(qū)動方程: 狀態(tài)方程: Q0 Q1 Q2 Q3 FF0 FF1 FF2 FF3 D0 D1 D2 D3 1D C1 1D C1 1D C1 1D C1 Q0 Q1 Q2 Q3 CP 移位時鐘脈沖 左移輸出 左移輸入 Di Q0 Q1 Q2 Q3 輸入現(xiàn)態(tài)次態(tài) D i CP nnnn QQQQ 3210 1 3 1 2 1 1 1 0 nnnn QQQQ 說明 1 1 1 1 0 0 0 0 1 0 0 0

51、1 1 0 0 1 1 1 0 0 0 0 1 0 0 1 1 0 1 1 1 1 1 1 1 連續(xù)輸入 4 個 1 單向移位寄存器具有以下主要特點: (1)單向移位寄存器中的數(shù)碼,在CP脈沖操 作下,可以依次右移或左移。 (2)n位單向移位寄存器可以寄存n位二進制 代碼。n個CP脈沖即可完成串行輸入工作,此 后可從Q0Qn-1端獲得并行的n位二進制數(shù)碼, 再用n個CP脈沖又可實現(xiàn)串行輸出操作。 (3)若串行輸入端狀態(tài)為0,則n個CP脈沖后, 寄存器便被清零。 二、雙向移位寄存器二、雙向移位寄存器 D0 D1 D2 D3 FF0 FF1 FF2 FF3 Q0 Q1 Q2 Q3 1D C1 1D

52、 C1 1D C1 1D C1 Q0 Q1 Q2 Q3 CP DSL & 1 & 1 & 1 & 1 1 DSR M Q0 Q1 Q2 Q3 SL nn nnn nnn n SR n MDQMQ MQQMQ MQQMQ MQDMQ 2 1 3 31 1 2 20 1 1 1 1 0 nn nn nn SR n QQ QQ QQ DQ 2 1 3 1 1 2 0 1 1 1 0 SL n nn nn nn DQ QQ QQ QQ 1 3 3 1 2 2 1 1 1 1 0 M=0時右移M=1時左移 (a) 引腳排列圖 16 15 14 13 12 11 10 9 74LS194 1 2 3 4

53、5 6 7 8 VCC Q0 Q1 Q2 Q3 CP M1 M0 CR DSR D0 D1 D2 D3 DSL GND M1 M0 DSL 74LS194 Q0 Q1 Q2 Q3 (b) 邏輯功能示意圖 D0 D1 D2 D3 CR CP DSR 3 3、集成、集成 雙向移雙向移 位寄存位寄存 器器 74LS19474LS194 CPMMCR 01 工作狀態(tài) 0 1 0 0 1 0 1 1 1 0 1 1 1 異步清零 保 持 右 移 左 移 并行輸入 7.4.3 移位寄存器的應(yīng)用移位寄存器的應(yīng)用 一、環(huán)形計數(shù)器一、環(huán)形計數(shù)器 Q0 Q1 Q2 Q3 FF0 FF1 FF2 FF3 Q0 Q1

54、 Q2 Q3 D0 D1 D2 D3 1D C1 1D C1 1D C1 1D C1 CP Q0 Q1 Q2 Q3 n n QD 10 即將FFn-1的輸出Qn-1接到FF0的輸入端D0。 根據(jù)起始狀態(tài)設(shè)置的不同,在輸入計數(shù)脈沖CP的作用下, 環(huán)形計數(shù)器的有效狀態(tài)可以循環(huán)移位一個1,也可以循環(huán)移 位一個0。即當(dāng)連續(xù)輸入CP脈沖時,環(huán)形計數(shù)器中各個觸發(fā) 器的Q端或端,將輪流地出現(xiàn)矩形脈沖。 FF0 FF1 FF2 FF3 Q0 Q1 Q2 Q3 D0 D1 D2 D3 1D C1 1D C1 1D C1 1D C1 CPQ0 Q1 Q2 Q3 & 1111 0000100001001001 11

55、10011100110001001001011011 110001101101 排列順序: nnnn QQQQ 3210 能自啟動的能自啟動的4位環(huán)形計數(shù)器位環(huán)形計數(shù)器 由由74LS19474LS194 構(gòu)成的能自構(gòu)成的能自 啟動的啟動的4位位 環(huán)形計數(shù)器環(huán)形計數(shù)器 啟動 信號 CR DSR M1 M0 DSL 74LS194 Q0 Q1 Q2 Q3 D0 D1 D2 D3 0 1 1 1 & & 1 1 CPG2 G1 (a) 邏輯電路圖 (b) 時序圖 CP Q0 Q1 Q2 Q3 二、扭環(huán)形計數(shù)器二、扭環(huán)形計數(shù)器 Q0 Q1 Q2 Q3 FF0 FF1 FF2 FF3 Q0 Q1 Q2

56、Q3 D0 D1 D2 D3 1D C1 1D C1 1D C1 1D C1 CP Q0 Q1 Q2 Q3 n n QD 10 即將FFn-1的輸出Qn-1接到FF0的輸入端D0。 0100101011010110 無效循環(huán) 1001001001011011 0000100011001110 有效循環(huán) 0001001101111111 排列順序: nnnn QQQQ 3210 能自啟動的能自啟動的4位扭環(huán)形計數(shù)器位扭環(huán)形計數(shù)器 FF0 FF1 FF2 FF3 Q0 Q1 Q2 Q3 D0 D1 D2 D3 1D C1 1D C1 1D C1 1D C1 CP Q0 Q1 Q2 Q3 00001

57、0001100111011011010010010010010 有效循環(huán) 0001001101111111 010110110110 (a) 邏輯圖 (b) 狀態(tài)圖 & & 排列順序: nnnn QQQQ 3210 本節(jié)小結(jié): 寄存器是用來存放二進制數(shù)據(jù)或代碼的電路,寄存器是用來存放二進制數(shù)據(jù)或代碼的電路, 是一種基本時序電路。任何現(xiàn)代數(shù)字系統(tǒng)都必須把是一種基本時序電路。任何現(xiàn)代數(shù)字系統(tǒng)都必須把 需要處理的數(shù)據(jù)和代碼先寄存起來,以便隨時取用。需要處理的數(shù)據(jù)和代碼先寄存起來,以便隨時取用。 寄存器分為基本寄存器和移位寄存器兩大類。寄存器分為基本寄存器和移位寄存器兩大類。 基本寄存器的數(shù)據(jù)只能并行

58、輸入、并行輸出。移位基本寄存器的數(shù)據(jù)只能并行輸入、并行輸出。移位 寄存器中的數(shù)據(jù)可以在移位脈沖作用下依次逐位右寄存器中的數(shù)據(jù)可以在移位脈沖作用下依次逐位右 移或左移,數(shù)據(jù)可以并行輸入、并行輸出,串行輸移或左移,數(shù)據(jù)可以并行輸入、并行輸出,串行輸 入、串行輸出,并行輸入、串行輸出,串行輸入、入、串行輸出,并行輸入、串行輸出,串行輸入、 并行輸出。并行輸出。 寄存器的應(yīng)用很廣,特別是移位寄存器,不僅寄存器的應(yīng)用很廣,特別是移位寄存器,不僅 可將串行數(shù)碼轉(zhuǎn)換成并行數(shù)碼,或?qū)⒉⑿袛?shù)碼轉(zhuǎn)換可將串行數(shù)碼轉(zhuǎn)換成并行數(shù)碼,或?qū)⒉⑿袛?shù)碼轉(zhuǎn)換 成串行數(shù)碼,還可以很方便地構(gòu)成移位寄存器型計成串行數(shù)碼,還可以很方便地

59、構(gòu)成移位寄存器型計 數(shù)器和順序脈沖發(fā)生器等電路。數(shù)器和順序脈沖發(fā)生器等電路。 一、計數(shù)器型順序脈沖發(fā)生器一、計數(shù)器型順序脈沖發(fā)生器 在數(shù)字電路中,能按一定時間、一定順序輪流輸出脈沖 波形的電路稱為順序脈沖發(fā)生器。 計數(shù)器型順序脈沖發(fā)生器一般用按自然態(tài)序計數(shù)的二 進制計數(shù)器和譯碼器構(gòu)成。 順序脈沖發(fā)生器也稱脈沖分配器或節(jié)拍脈沖發(fā)生器,一 般由計數(shù)器(包括移位寄存器型計數(shù)器)和譯碼器組成。 作為時間基準(zhǔn)的計數(shù)脈沖由計數(shù)器的輸入端送入,譯碼 器即將計數(shù)器狀態(tài)譯成輸出端上的順序脈沖,使輸出端 上的狀態(tài)按一定時間、一定順序輪流為1,或者輪流為0。 前面介紹過的環(huán)形計數(shù)器的輸出就是順序脈沖,故可不 加譯碼

60、電路即可直接作為順序脈沖發(fā)生器。 7.4.4 順序脈沖發(fā)生器順序脈沖發(fā)生器 CP Q0 FF0 Q0 Q1 FF1 Q1 1J 1K C1 1J 1K C1 & & Y0 Y1 Y2 Y3 1 CP Q0 Q1 Y0 Y1 Y2 Y3 譯碼器 nnnnn nn QQQQQ QQ 1010 1 1 0 1 0 nn nn nn nn QQY QQY QQY QQY 013 012 011 010 計數(shù)器 D0 D1 D2 D3 STA Y0 STB Y1 STC Y2 Y3 Y4 Y5 Y6 Y7 LD CR CTT CTP Q0 Q1 Q2 Q3 CO 74LS163 74LS138 計數(shù)器譯

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論