EDA課程設計數字式競賽搶答器_第1頁
EDA課程設計數字式競賽搶答器_第2頁
EDA課程設計數字式競賽搶答器_第3頁
EDA課程設計數字式競賽搶答器_第4頁
EDA課程設計數字式競賽搶答器_第5頁
已閱讀5頁,還剩6頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、課程 eda技術課程設計題目 數字式競賽搶答器專業(yè) 電子信息工程 姓主要內容、基本要求、主要參考資料等主要內容:設計一個可容納6組參賽的數字式搶答器,當第一個人按下?lián)尨鸢粹o時,其他組的按鈕不起作用。當主持人按下“復位”按鈕,所有組的按鍵才可用?;疽螅?、設計一個可容納6組參賽的數字式搶答器,每組設一個按鈕,供搶答使用。2、搶答器具有第一信號鑒別和鎖存功能,使除第一搶答者外的按鈕不起作用。3、設置一個主持人“復位”按鈕。4、主持人復位后,開始搶答,第一信號鑒別鎖存電路得到信號后,有指示燈顯示搶答組別,揚聲器發(fā)出2-3秒的音響。5、設置一個計分電路,每組開始預置100分,由主持人記分,答對一次

2、加10分,答錯一次減10分。主要參考資料:1 潘松著.eda技術實用教程(第二版). 北京:科學出版社,2005.2 康華光主編.電子技術基礎 模擬部分. 北京:高教出版社,2006.3 閻石主編.數字電子技術基礎. 北京:高教出版社,2003.完成期限 2011.3.11 指導教師 專業(yè)負責人 2011年 3月7日一、總體設計思想1.基本原理根據系統(tǒng)設計要求可知,系統(tǒng)的輸入信號有:各組的搶答按鈕abcdef,系統(tǒng)清零信號clr,系統(tǒng)時鐘信號clk,計分復位端rst,加分按鈕add,減分按鈕acc,系統(tǒng)的輸出信號有:六個組搶答成功與否的指示燈控制信號輸出口leda、ledb、ledc、ledd

3、、lede、ledf,六個組搶答時的搶答時的計時數碼顯示控制信號若干,搶答成功組別顯示的控制信號若干,各組計分動態(tài)顯示的控制信號若干。本系統(tǒng)應具有的功能有:第一搶答信號的鑒別和鎖存功能;搶答計分功能;各組得分的累加和動態(tài)顯示功能。根據以上分析,我們可將整個系統(tǒng)分為三個主要模塊:搶答鑒別模塊qdjb;搶答計分模塊jfq;顯示譯碼模塊ymq。系統(tǒng)的工作原理如下:當主持人按下使能端clr時,搶答器開始工作,abcdef六個搶答者誰先搶答成功則此選手的臺號燈(leda-ledf)將點亮,并且主持人前的組別顯示數碼將顯示出搶答成功者的臺號,揚聲器發(fā)出2-3秒的音響。接下來主持人提問若回答正確,主持人按加

4、分按鈕add,若回答錯誤,按減分按鈕acc,搶答計分模塊jfq將給對應的組加分或者減分,并將組該的總分顯示在對應的選手計分數碼管上。完成第一輪搶答后,主持人清零,接著重新開始,步驟如上。2.設計框圖搶答啟動選手搶答加減分顯示:搶答選手號選手得分蜂鳴器二、設計步驟和調試過程1、 總體設計電路2、 模塊設計和相應模塊程序l 搶答鑒別模塊 rst states3.0clk2 tmps0s1s2s3s4s5 qdjblibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qdjb isport(rs

5、t,clk2:in std_logic; s0,s1,s2,s3,s4,s5:in std_logic; states:buffer std_logic_vector(5 downto 0);tmp:out std_logic);end qdjb;architecture one of qdjb issignal st:std_logic_vector(5 downto 0);beginp1:process(s0,rst,s1,s2,s3,s4,s5,clk2)begin if rst=0 then tmp=0;st=0000; elsif clk2event and clk2=1 then

6、if (s0=1 or st(0)=1)and not( st(1)=1 or st(2)=1 or st(3)=1 or st(4)=1 or st(5)=1 ) then st(0)=1; end if ; if (s1=1 or st(1)=1)and not( st(0)=1 or st(2)=1 or st(3)=1 or st(4)=1 or st(5)=1) then st(1)=1; end if ; if (s2=1 or st(2)=1)and not( st(0)=1 or st(1)=1 or st(3)=1 or st(4)=1 or st(5)=1) then st

7、(2)=1; end if ; if (s3=1 or st(3)=1)and not( st(0)=1 or st(1)=1 or st(2)=1 or st(4)=1 or st(5)=1) then st(3)=1;if (s4=1 or st(4)=1)and not( st(0)=1 or st(1)=1 or st(2)=1 or st(3)=1 or st(5)=1) then st(4)=1; end if ; if (s5=1 or st(5)=1)and not( st(0)=1 or st(1)=1 or st(2)=1 or st(3)=1 or st(5)=1) th

8、en st(5)=1; end if ;tmp=s0 or s1 or s2 or s3 or s4 or s5;end if ;end process p1;p2:process(states(0),states(1),states(2),states(3) ,states(4),states(5) begin if (st=0000) then states=0000; elsif (st=0001) then states=0001;elsif (st=0010) then states=0010; elsif (st=0100) then states=0011;elsif (st=0

9、100) then states=0100; elsif (st=0101) then states=0101; elsif (st=1000) then states=0110; end if; end process p2;end one;搶答鑒別模塊用來準確直觀地判斷a、b、c、d、e、f六組搶答者誰最先按下按鈕,并為顯示端送出信號,通過數顯和蜂鳴等途徑使觀眾能夠清楚地知道是哪一組搶答成功,是整個系統(tǒng)的核心部分。同時組別顯示端為下一模塊輸入信號,以方便主持人為該組搶答成功者進行加減分的操作。l 計分器模塊library ieee;use ieee.std_logic_1164.all;u

10、se ieee.std_logic_unsigned.all;entity js isport(clk,rst,s,stop:in std_logic; warn:out std_logic; ta,tb:buffer std_logic_vector(3 downto 0);end js;architecture one of js issignal co:std_logic;beginp1:process(clk,rst,s,stop,ta) begin if rst=0 or stop=1 then ta=0000; elsif clkevent and clk=1 then co=0;

11、 if s=1 then if ta=0000 then ta=1001;co=1; else ta=ta-1; end if; end if; end if;end process p1;p2:process(co,rst,s,stop,tb) begin if rst=0 or stop=1 then tb=0010; elsif coevent and co=1 then if s=1 then if tb=0000 then tb=0011; else tbdout7dout7dout7dout7dout7dout7dout7dout7dout7dout7dout7=0000000;

12、end case; end process;end architecture art;在這個模塊中主要實現搶答過程中將bcd碼轉換成7段的功能。3、仿真及仿真結果分析l 搶答鑒別模塊仿真圖l 譯碼模塊仿真圖l 計分器模塊仿真圖3、 實驗調試結果搶答鑒別模塊:第一個按下鍵的小組,搶答信號判定電路lock通過緩沖輸出信號的反饋將本參賽組搶先按下按鍵的信號鎖存,并且以異步清零的方式將其他參賽組的鎖存器清零,組別顯示、計時和計分會保存到主持人對系統(tǒng)進行清零操作時為止。當ini=1時系統(tǒng)復位,使組別顯示信號g=0000,各組的指示燈信號a1=0,b1=0,c1=0,d1=0;當ini=0,即低電平有效,

13、使其進入搶答鑒別狀態(tài),到clk的上升沿到來時,以a組搶答成功為例,當輸入信號為a=1,b=0,c=0,d=0,輸出信號g=1000,a1=1,即為鑒別出a組搶答成功,同時屏蔽其他組的輸入信號,以免發(fā)生錯誤。同理其他組別搶答成功也是這樣的鑒別過程。記分模塊為哪組進行記分取決于鑒別模塊的輸入信號g,當g=1000時表示a組最先搶答,則在此模塊中為a組記分,當g=0100時表示b組最先搶答,則在此模塊中為b組記分,當g=0010時表示c組最先搶答,則在此模塊中為c組記分,當g=0001時表示d組最先搶答,則在此模塊中為d組記分。以a組為例來說明此模塊的設計,當復位信號rst=1時,系統(tǒng)復位且a組的分值顯示初始值,為100分。當rst=0時,如果該組選手答題正確,則主持人按下加分鍵,即add=1,此時對該組進行加分操作;如果該組選手答題錯誤,則主持人按下減分鍵,即sub=1,此時對該組進行減分操作。三、結論及心得體會通過這次對搶答器的設計和實踐,學到了很多的東西,不僅鞏固了以前所學的知識,而且學到了書本上沒有的東西,在調試中每修改一個小的錯誤,自己心中的成就感就徒增。在這次設計,把理論與實踐信結合起來,在老師的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論