自動(dòng)化立體倉(cāng)庫(kù)畢業(yè)論文---雙軸立體倉(cāng)庫(kù)PLC控制系統(tǒng)_第1頁(yè)
自動(dòng)化立體倉(cāng)庫(kù)畢業(yè)論文---雙軸立體倉(cāng)庫(kù)PLC控制系統(tǒng)_第2頁(yè)
自動(dòng)化立體倉(cāng)庫(kù)畢業(yè)論文---雙軸立體倉(cāng)庫(kù)PLC控制系統(tǒng)_第3頁(yè)
自動(dòng)化立體倉(cāng)庫(kù)畢業(yè)論文---雙軸立體倉(cāng)庫(kù)PLC控制系統(tǒng)_第4頁(yè)
自動(dòng)化立體倉(cāng)庫(kù)畢業(yè)論文---雙軸立體倉(cāng)庫(kù)PLC控制系統(tǒng)_第5頁(yè)
已閱讀5頁(yè),還剩47頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、自動(dòng)化立體倉(cāng)庫(kù)畢業(yè)論文-一雙軸立體倉(cāng)庫(kù)PLC控制系統(tǒng)無(wú)錫職業(yè)技術(shù)學(xué)院系別(分院、部)控制技術(shù)學(xué)院班級(jí)生產(chǎn)過(guò)程自動(dòng)化姓名 學(xué)號(hào) 課題名稱雙軸立體倉(cāng)庫(kù)PLC控制系統(tǒng)成績(jī)畢業(yè)實(shí)踐任務(wù)書(shū)1份 答辯表份畢業(yè)設(shè)計(jì)說(shuō)明書(shū)(論文)1份 共35頁(yè)外文翻譯1 份共12 頁(yè)另附圖(表)2 個(gè)共頁(yè)其它材料份共頁(yè)2012年 4 月 10 日系別(部、班姓學(xué)課題名稱指導(dǎo)教指導(dǎo)教無(wú)錫職業(yè)技術(shù)學(xué)院分院)控制技術(shù)學(xué)院級(jí)生產(chǎn)過(guò)程自動(dòng)化名號(hào)雙軸立體倉(cāng)庫(kù)PLC控制系統(tǒng)師職稱師職稱2012年 4 月 10 日1. 畢業(yè)設(shè)計(jì)任務(wù)書(shū)2. 外文翻譯3. 畢業(yè)設(shè)計(jì)調(diào)研報(bào)告4. 畢業(yè)設(shè)計(jì)說(shuō)明書(shū)(論文)目錄第一章緒論11.1選題背景及研究意義11

2、.1.1自動(dòng)化雙軸立體倉(cāng)庫(kù)定義,分類11. 1.2立體倉(cāng)庫(kù)的歷沿革11. 1. 3倉(cāng)庫(kù)的發(fā)展現(xiàn)狀及缺點(diǎn)1第二章 雙軸立體倉(cāng)庫(kù)的總體設(shè)計(jì)42. 1系統(tǒng)功能設(shè)計(jì)42.2此課題的設(shè)計(jì)內(nèi)容及主思路42. 3本文結(jié)構(gòu)424立體倉(cāng)庫(kù)控制系統(tǒng)設(shè)計(jì)步驟4第三章硬件部分63. 1立體倉(cāng)庫(kù)的結(jié)構(gòu)與框架圖63. 2 PLC 選擇63. 2. 1 PLC型號(hào)的選擇63. 2. 2 FX2N PLC 的介紹 83.2.3 PLCI/O 點(diǎn)的分配93.3步進(jìn)電機(jī)與驅(qū)動(dòng)器的選擇103. 3.1步進(jìn)電機(jī)驅(qū)動(dòng)器的原理及選擇 103.3.2步進(jìn)電機(jī)工作原理及選擇 113.4接近開(kāi)關(guān)的工作原理及選擇 153. 5系統(tǒng)外部硬件的連接

3、方式17第四章 軟件部分204. 1 GX-developer 編程軟件204.2 PLC梯形圖概述264. 3本PLC程序的簡(jiǎn)單介紹274. 4立體倉(cāng)庫(kù)的工作流程圖 284. 5 I/O地址分配表30第五章總結(jié)31參考文獻(xiàn)34致謝35基于PLC的雙軸立體倉(cāng)庫(kù)第一章緒論1.1選題背景及研究意義1.1、1雙軸立體倉(cāng)庫(kù)定義、分類雙軸立體倉(cāng)庫(kù)可分為六大類:(1)按按貨架高度分類,可分為:高層立體倉(cāng)庫(kù)(15米 以上)中層立體倉(cāng)庫(kù)(515米)低層立體倉(cāng)庫(kù)(5米以下)(2)按貨架構(gòu)造分類, 可分為:?jiǎn)卧浉袷搅Ⅲw倉(cāng)庫(kù)貫通式立體倉(cāng)庫(kù)自動(dòng)化柜式立體倉(cāng)庫(kù)條型貨架立體倉(cāng) 庫(kù)(3)按建筑物構(gòu)造分類,可分為:一體型立

4、體倉(cāng)庫(kù)分離型立體倉(cāng)庫(kù)(4)按立體倉(cāng)庫(kù) 裝取貨物機(jī)械種類分類,可分為:貨架叉車(chē)立體庫(kù)巷道堆垛機(jī)立體庫(kù)(5)按操作方式 分類,可分為:人工尋址、人工裝取方式自動(dòng)尋址,人工裝取方式自動(dòng)尋址、自動(dòng)裝取 方式(6)按功能分類,可分為:儲(chǔ)存式立體倉(cāng)庫(kù)揀選式立體倉(cāng)庫(kù)。1.1. 2立體倉(cāng)庫(kù)的歷沿革立體倉(cāng)庫(kù)的歷史沿革在1950年,在美國(guó)首次出現(xiàn)了雙軸立體倉(cāng)庫(kù)的雛形,那時(shí) 的雙軸立體倉(cāng)庫(kù),嚴(yán)格說(shuō)只能算是立體倉(cāng)庫(kù),還遠(yuǎn)談不上自動(dòng)二字。其后,在英,法等 國(guó)也相繼出現(xiàn)了類似的立體倉(cāng)庫(kù)。雙軸立體倉(cāng)庫(kù)真正成為高效率的自動(dòng)化設(shè)施,還是70 年代的以后的事情。隨著經(jīng)濟(jì)的起飛。為提高物流的效率和充分利用倉(cāng)儲(chǔ)空間,日本 自1965

5、年從歐美引入雙軸立體倉(cāng)庫(kù),并于70年代以后使其獲得飛速發(fā)展?,F(xiàn)在,自 動(dòng)化立體倉(cāng)庫(kù)技術(shù)已日趨成熟,并隨著科學(xué)技術(shù)尤其是計(jì)算機(jī)技術(shù)的發(fā)展,而不斷向前 發(fā)展。80年代中期起,我國(guó)先后在無(wú)錫,湖北和大連等地出現(xiàn)多座利用微機(jī)控制巷道 堆垛機(jī)的自動(dòng)化倉(cāng)庫(kù)。但是,這些普通微機(jī)對(duì)環(huán)境和電源要求較高,部適合在工業(yè)現(xiàn)場(chǎng) 和堆垛機(jī)上直接使用??删幊炭刂破鱌LC的引進(jìn)和廣泛使用,使國(guó)產(chǎn)自動(dòng)立庫(kù)的性能 日趨完善。PLC是帶處理器的通用工業(yè)控制器,器可靠性大大高于普通微機(jī)裝置,適合 在惡劣的現(xiàn)場(chǎng)使用,可直接裝在堆垛機(jī)的電氣柜內(nèi)與接觸 器由同一電網(wǎng)供電。采用 PLC作為實(shí)時(shí)控制裝置已成為當(dāng)前各先進(jìn)工業(yè)國(guó)家一致的發(fā)展方向。

6、國(guó)內(nèi)外雙軸立體倉(cāng) 庫(kù)的發(fā)展現(xiàn)狀及優(yōu)缺點(diǎn)。1.1. 3倉(cāng)庫(kù)的發(fā)展現(xiàn)狀及優(yōu)缺點(diǎn)雙軸立體倉(cāng)庫(kù)倉(cāng)庫(kù)技術(shù)仍處于不斷發(fā)展之中,今后將向兩個(gè)方向發(fā)展:一是開(kāi) 發(fā)儲(chǔ)藏大型物體,如整臺(tái)汽車(chē),大型模具之類的自動(dòng)化倉(cāng)庫(kù);另一個(gè)是開(kāi)發(fā)對(duì)錄音帶、 錄像帶或半導(dǎo)體器件之類的輕量或超輕量物體的小型雙軸立體倉(cāng)庫(kù)。隨著科學(xué)技術(shù)的發(fā) 展,雙軸立體倉(cāng)庫(kù)將逐步向完整、人工智能化控制系統(tǒng)發(fā)展?,F(xiàn)在國(guó)外各發(fā)達(dá)國(guó)家在 自動(dòng)化倉(cāng)庫(kù)技術(shù)中投入大量資金,在倉(cāng)庫(kù)自動(dòng)化過(guò)程各個(gè)環(huán)節(jié)即輸入、儲(chǔ)運(yùn)、輸出上 采用新技術(shù)。這些新技術(shù)集中有以下幾種:自動(dòng)導(dǎo)向小車(chē)系統(tǒng)(Automated Guided Vehicle)磁性導(dǎo)軌裝置激光掃描儀(Laser Sca

7、nner)條形碼識(shí)別(Bar-code Labels) 命令揀選系統(tǒng)(Order-Picking System )儲(chǔ)運(yùn)機(jī)器人機(jī)械手智能卡車(chē)(1)立體倉(cāng)庫(kù) 之所以受到青睞,是由于它具有以下優(yōu)點(diǎn):&提高空間利用率早期立體倉(cāng)庫(kù)的構(gòu)想, 其基本出發(fā)點(diǎn)就是提高空間利用率,充分節(jié)約有限且寶貴的土地。在西方有些發(fā)達(dá)國(guó) 家,提高空間利用率的觀點(diǎn)已有更廣泛深刻的含義,節(jié)約土地,已與節(jié)約能源、環(huán)境保 護(hù)等更多的方面聯(lián)系起來(lái)。有些甚至把空間的利用率作為系統(tǒng)合理性和先進(jìn)性考核的 重要指標(biāo)來(lái)對(duì)待。立體庫(kù)的空間利用率與其規(guī)劃緊密相連。一般來(lái)說(shuō),自動(dòng)化高架倉(cāng) 庫(kù)其空間利用率為普通平庫(kù)的2-5倍。這是相當(dāng)可觀的。b.便于形成

8、先進(jìn)的物流系統(tǒng), 提高企業(yè)生產(chǎn)管理水平。傳統(tǒng)倉(cāng)庫(kù)只是貨物儲(chǔ)存的場(chǎng)所,保存貨物是其唯一的功能, 是一種“靜態(tài)儲(chǔ)存”。雙軸立體倉(cāng)庫(kù)采用先進(jìn)的自動(dòng)化物料搬運(yùn)設(shè)備,不僅能使貨物在 倉(cāng)庫(kù)內(nèi)按需要自動(dòng)存取,而且可以與倉(cāng)庫(kù)以外的生產(chǎn)環(huán)節(jié)進(jìn)行有機(jī)的連接,并通過(guò)計(jì)算 機(jī)管理系統(tǒng)和自動(dòng)化物料搬運(yùn)設(shè)備使倉(cāng)庫(kù)成為企業(yè)生產(chǎn)物流中的一個(gè)重要環(huán)節(jié)。企業(yè) 外購(gòu)件和自制生產(chǎn)件進(jìn)入自動(dòng)化倉(cāng)庫(kù)儲(chǔ)存是整個(gè)生產(chǎn)的一個(gè)環(huán)節(jié),短時(shí)儲(chǔ)存是為了在指 定的時(shí)間自動(dòng)輸出到下一道工序進(jìn)行生產(chǎn),從而形成一個(gè)自動(dòng)化的物流系統(tǒng),這是一種 “動(dòng)態(tài)儲(chǔ)存”,也是當(dāng)今自動(dòng)化倉(cāng)庫(kù)發(fā)展的一個(gè)明顯的技術(shù)趨勢(shì)。c加快貨物的存取節(jié) 奏,減輕勞動(dòng)強(qiáng)度,提高生產(chǎn)效率.建立以雙

9、軸立體倉(cāng)庫(kù)為中心的物流系統(tǒng).其優(yōu)越性還 表現(xiàn)在自動(dòng)化高架庫(kù)具有的快速的入出庫(kù)能力,能快速妥善地將貨物存入高架庫(kù)中(入 庫(kù)),也能快速及時(shí)并自動(dòng)地將生產(chǎn)所需零部件和原材料送達(dá)生產(chǎn)線。這一特點(diǎn)是普通 平庫(kù)所不能達(dá)到的。d.減少庫(kù)存資金積壓經(jīng)過(guò)對(duì)一些大型企業(yè)的調(diào)査了解,由于歷 史原因造成管理手段落后,物資管理零散,使生產(chǎn)管理和生產(chǎn)環(huán)節(jié)的緊密聯(lián)系難以到 位,為了到達(dá)預(yù)期的生產(chǎn)能力和滿足生產(chǎn)要求,就必須準(zhǔn)備充足的原材料和零部件。 這樣庫(kù)存積壓就成為一個(gè)較大的問(wèn)題。如何降低庫(kù)存資金積壓和充分滿足生產(chǎn)需要,已 成為大型企業(yè)不得不面對(duì)的一個(gè)大問(wèn)題。高架庫(kù)系統(tǒng)是解決這一問(wèn)題的最有效的手段之 一。e現(xiàn)代化企業(yè)的標(biāo)

10、志.現(xiàn)代化企業(yè)釆用的是集約化大規(guī)模生產(chǎn)模式。這就要求生 產(chǎn)過(guò)程中各環(huán)節(jié)緊密相連,成為一個(gè)有機(jī)整體;要求生產(chǎn)管理科學(xué)實(shí)用,做到?jīng)Q策科 學(xué)化。為此,建立自動(dòng)化高架倉(cāng)庫(kù)系統(tǒng)是其有力的措施之一。(2)當(dāng)然,立體倉(cāng)庫(kù)也存 在一些缺點(diǎn):a.倉(cāng)庫(kù)結(jié)構(gòu)復(fù)雜,配套設(shè)備多,需要大量的基建和設(shè)備投資。b.貨架安裝 要求精度高,施工比較困難,施工周期長(zhǎng)。c計(jì)算機(jī)控制系統(tǒng)是倉(cāng)庫(kù)的“神經(jīng)中樞”。 一旦出現(xiàn)故障,將會(huì)使整個(gè)倉(cāng)庫(kù)處于癱瘓狀態(tài),收發(fā)作業(yè)就要中斷。d.由于高層貨架 是利用標(biāo)準(zhǔn)貨格進(jìn)行單元儲(chǔ)存的,所以對(duì)儲(chǔ)存貨物的種類有一定的局限性。e.由于倉(cāng) 庫(kù)實(shí)行自動(dòng)控制與管理,技術(shù)性比較強(qiáng),對(duì)工作人員的技術(shù)業(yè)務(wù)素質(zhì)要求比較高,

11、必 須具有一定的文化水平和專業(yè)知識(shí),而且經(jīng)過(guò)專門(mén)培訓(xùn)的人員才能勝任。第二章雙軸立體倉(cāng)庫(kù)的總體設(shè)計(jì)2.1系統(tǒng)功能設(shè)計(jì)將當(dāng)前物料口上的貨物放入最小號(hào)倉(cāng)位,例如:若0號(hào)倉(cāng)位已有貨,則送至1號(hào) 倉(cāng)位,若0、1號(hào)倉(cāng)位均有貨,則送至2號(hào)倉(cāng)位,依次類推,每次將貨物送至最小號(hào)倉(cāng) 位,若012號(hào)倉(cāng)位均有貨,則不送貨,直到有空余倉(cāng)位。2.2此課題的設(shè)計(jì)內(nèi)容及主要思路該立體倉(cāng)庫(kù)主體由底盤(pán)三層四倉(cāng)位庫(kù)體、運(yùn)動(dòng)機(jī)械及電氣控制等四部分組成。機(jī) 械部分采用滾珠絲杠、滑杠、普通絲杠等機(jī)械元件組成,采用步進(jìn)電機(jī)作為拖動(dòng)元件。 (1)立體倉(cāng)庫(kù)的具備的功能主要有幾下幾點(diǎn):開(kāi)機(jī)時(shí)首先要回零位操作,這樣的目的就 是給堆垛機(jī)有個(gè)工作參考

12、點(diǎn);每個(gè)倉(cāng)位必須有檢測(cè)裝置(微動(dòng)開(kāi)關(guān)),當(dāng)操作有誤時(shí)停 止運(yùn)動(dòng);倉(cāng)位共有12個(gè),3行4列;整個(gè)電氣控制系統(tǒng)必須設(shè)置急停按鈕,以防發(fā) 生意外.(2)本系統(tǒng)設(shè)計(jì)的主要思路是:査閱PLC以及步進(jìn)電機(jī)相關(guān)資料,對(duì)設(shè)計(jì)中所用 的三菱FX2N系列PLC和步進(jìn)電機(jī)進(jìn)行熟悉和掌握。從立體倉(cāng)庫(kù)的基本結(jié)構(gòu)和所實(shí)現(xiàn)的 功能入手,通過(guò)分析I/O地址的分配和利用PLC完成位置控制及順序控制的要求,再根 據(jù)實(shí)際應(yīng)用的情形進(jìn)行PLC程序的編制,以達(dá)到對(duì)雙軸立體倉(cāng)庫(kù)所要求實(shí)現(xiàn)的功能。2. 3本文結(jié)構(gòu)本文的結(jié)構(gòu)本文以雙軸立體倉(cāng)庫(kù)的研發(fā)工程項(xiàng)目作為應(yīng)用背景,對(duì)立體倉(cāng)庫(kù) 技術(shù)進(jìn)行了研究。全文共分為五章,各章的主要內(nèi)容如下:第一章扼

13、要地介紹了雙軸立 體倉(cāng)庫(kù)的概念、特點(diǎn)與相關(guān)研究背景;第二章講解了本文的整體結(jié)構(gòu)。第三章對(duì)立體倉(cāng) 庫(kù)的硬件方面進(jìn)行了解和說(shuō)明;第四章對(duì)軟件方面進(jìn)行了了解說(shuō)明;第五章是總結(jié)。2. 4立體倉(cāng)庫(kù)控制系統(tǒng)設(shè)計(jì)步驟設(shè)計(jì)控制系統(tǒng)設(shè)計(jì)步驟不但可以使思維更加清晰易懂,更有助于良好的科學(xué)的習(xí) 習(xí)慣的養(yǎng)成。下圖2-1是本課題的立體倉(cāng)庫(kù)控制系統(tǒng)設(shè)計(jì)步驟,圖2-1立體倉(cāng)庫(kù)控制系統(tǒng)設(shè)計(jì)步第三章硬件部分3. 1立體倉(cāng)庫(kù)的基本結(jié)構(gòu)與框架圖該立體倉(cāng)庫(kù)主體由底盤(pán)、四層三倉(cāng)位庫(kù)體、運(yùn)動(dòng)機(jī)械及電氣控制等四部分組成。機(jī) 械部分采用步進(jìn)電機(jī)作為拖動(dòng)元件。雙軸立體倉(cāng)庫(kù)系統(tǒng)主要有三個(gè)部分組成:(1)由三 行四列立體倉(cāng)位組成每一個(gè)倉(cāng)庫(kù)都有一個(gè)

14、感應(yīng)器用來(lái)檢測(cè)倉(cāng)庫(kù)內(nèi)是不是有貨以此來(lái)要 求小氣缸是否應(yīng)該推出。(2)步進(jìn)電機(jī)與步進(jìn)電機(jī)驅(qū)動(dòng)器,螺紋桿,可以以Y方向和X 方向托起重物向目標(biāo)前進(jìn),步進(jìn)電機(jī)是為了讓輸出更加的精準(zhǔn),而螺紋桿則是承載步進(jìn) 電機(jī)的載體。(3)PLC控制單元PLC控制單元采用三菱公司生產(chǎn)的FX2N型PLC,所有操 作控制指令都是通過(guò)由三菱PLC發(fā)出的。 總之電機(jī)的框架圖如3-1 所示圖3-1系統(tǒng)外部框架圖3. 2 PLC選擇3.2.1 PLC型號(hào)的選擇本設(shè)計(jì)是以PLC為控制主體,由它來(lái)實(shí)現(xiàn)按鈕 與步進(jìn)電機(jī)驅(qū)動(dòng)器的連接。所以選擇真確的PLC型 號(hào)對(duì)本設(shè)計(jì)直觀重要。在PLC系統(tǒng)設(shè)計(jì)時(shí),首先應(yīng)確定控制方案,下一步工作就是PLC

15、工程計(jì)選型。工藝流程的特點(diǎn)和應(yīng)用要求是設(shè)計(jì)選型的主要依據(jù)。因此,工程設(shè)計(jì)選型和估算時(shí), 應(yīng)詳細(xì)分析工藝過(guò)程的特點(diǎn)、控制要求,明確控制任務(wù)和只采確定所需的操作和動(dòng)作, 然后根據(jù)控制要求,估算輸入輸出點(diǎn)數(shù)、所需存儲(chǔ)器容量、確定PLC的功能、外部設(shè) 備特性等,最后選擇有較高性能價(jià)格與的PLC和設(shè)計(jì)相應(yīng)的控制系統(tǒng)。1輸入輸出(I/O)點(diǎn)數(shù)的估算I/O點(diǎn)數(shù)估算時(shí)應(yīng)考在適當(dāng)?shù)挠嗔?,通常根?jù)統(tǒng)計(jì)的輸入輸出點(diǎn)數(shù),再增加10%20%的可擴(kuò)展。余量后,作為輸入輸出點(diǎn)數(shù)估算數(shù)據(jù)。實(shí)際訂貨時(shí),還需根 據(jù)制造廠商PLC的它它特點(diǎn),對(duì)輸入輸出點(diǎn)數(shù)進(jìn)行圓帶,根據(jù)估算的方法故本課題的I/O點(diǎn)數(shù)為輸入40點(diǎn),輸出21點(diǎn)。2.存

16、儲(chǔ)器容量的估算存儲(chǔ)器容量是可編程序控制器本身能提供的硬件存儲(chǔ)單元大此,程序容量是存儲(chǔ)器中用戶應(yīng)用項(xiàng)目使用的存儲(chǔ)單 元的大此,因此程序容量此于存儲(chǔ)器容量。設(shè)計(jì)階段,由于用戶應(yīng)用程序還未編制,因 此,程序容量在設(shè)計(jì)階段是未知的,需在程序調(diào)試之后電知道。為了設(shè)計(jì)選型時(shí)能對(duì)程 序容量有一定估算,通常釆用存儲(chǔ)器容量的估算來(lái)替代。存儲(chǔ)器內(nèi)存容量的估算沒(méi)有所 定的公式,許多文獻(xiàn)資料中給出了一些不同公式,大體上都是按數(shù)字量I/O點(diǎn)數(shù)的1015倍,加上模擬I/O點(diǎn)數(shù)的100倍,以此數(shù)為內(nèi)存的移字?jǐn)?shù)(16位為一個(gè)字),另外再按此數(shù)的25%考在余量。因此本課題的PLC內(nèi)存容量選擇應(yīng)能存儲(chǔ)5000相梯形 圖,這樣電能

17、在以后的改造過(guò)程中有足夠的空間。3.控制功能的選擇該選擇包括運(yùn) 算功能、控制功能、通信功能、編程功能、診斷功能和處理速度等特性的選擇。根據(jù)本 課題所設(shè)計(jì)的自動(dòng)門(mén)控制的需要,主要介紹以下幾種功能的選擇。(1)控制功能PLC主 要用于順序邏輯控制,因此,大多數(shù)場(chǎng)合常采用單回路或多回路控制器解必模擬量的控 制,有時(shí)也采用專用的智能輸入輸出單元完成所需的控制功能,提高PLC的處理速度 和節(jié)省存儲(chǔ)器容量。(2)編程功能離線編程方式:PLC和編程器公用一個(gè)CPU,編程 器在編程模式時(shí),CPU只為編程器提供服務(wù),不對(duì)現(xiàn)場(chǎng)設(shè)備進(jìn)行控制。完成編程后,編 程器切換到運(yùn)行模式,CPU對(duì)現(xiàn)場(chǎng)設(shè)備進(jìn)行控制,不能進(jìn)行編程

18、。離線編程方式可降低 系統(tǒng)成本,但使用和調(diào)試不方便。在線編程方式:CPU和編程器有各自的CPU,主機(jī)CPU 負(fù)責(zé)現(xiàn)場(chǎng)控制,并在一個(gè)掃描周期內(nèi)與編程器進(jìn)行數(shù)據(jù)交換,編程器把在線編制的程序 或數(shù)據(jù)發(fā)送到主機(jī),下一掃描周期,主機(jī)就根據(jù)新收到的程序運(yùn)行。這種方式成本較高, 但系統(tǒng)調(diào)試和操作方便,在大中型PLC中常采用。五種標(biāo)準(zhǔn)化編程語(yǔ)言:順序功能圖 (SFC)梯形圖、(LD)功能模塊圖、(FBD)三種圖形化語(yǔ)言和語(yǔ)句表(IL)、結(jié)構(gòu)文本 (ST)兩種文本語(yǔ)言。選用的編程語(yǔ)言應(yīng)遵守其標(biāo)準(zhǔn)(IEC6113123)同時(shí),還應(yīng)支持多 種語(yǔ)言編程形式,如Basic等,以電足特殊控制場(chǎng)合的控制要求(3)診斷功能P

19、LC的 診斷功能包括硬件和軟件的診斷。硬件診斷通過(guò)硬件的邏輯判斷確定硬件的故障位 置,軟件診斷分內(nèi)診斷和外診斷。通過(guò)軟件對(duì)PLC內(nèi)部的性能和功能進(jìn)行診斷是內(nèi)診 斷,通過(guò)軟件對(duì)PLC的CPU與外部輸入輸出等部件信息交換功能進(jìn)行診斷是外診斷。 PLC的診斷功能的強(qiáng)弱,直接不不對(duì)操作和維護(hù)人員技術(shù)能力的要求,并不不平均維 修時(shí)間。4.機(jī)型的選擇PLC的類型FX2系列可編程控制器主機(jī)分為16、24、32、 64、80、128點(diǎn)六檔,還有各種輸入和輸出擴(kuò)展單元,這樣在增加I/O點(diǎn)數(shù)時(shí),不必 改變機(jī)型,可以通過(guò)擴(kuò)展 模塊實(shí)現(xiàn),降低了經(jīng)濟(jì)投入。本課題設(shè)計(jì)的立體倉(cāng)庫(kù)控制系 統(tǒng)有輸入信號(hào)8個(gè),輸出信號(hào)5個(gè)。其中

20、,外部輸入元件包括:檢測(cè)元件、啟動(dòng)按鈕、 急停按鈕、限位開(kāi)關(guān)、復(fù)位按鈕;輸出有兩個(gè)步進(jìn)電機(jī)的正反向、動(dòng)作指示等。按照上 述配置,所選I/O點(diǎn)不得低30點(diǎn),結(jié)合實(shí)際情況,所選I/O點(diǎn)為32點(diǎn)。因此我所選 型號(hào)為FX2N-32MR。(2)經(jīng)濟(jì)性的考在選擇PLC時(shí),應(yīng)考在性能價(jià)格與??荚诮?jīng)濟(jì)性 時(shí),應(yīng)同時(shí)考在應(yīng)用的可擴(kuò)展性、可操作性、投入它出與等因素,進(jìn)行與較和兼顧, 最終選出較滿意的它。輸入輸出點(diǎn)數(shù)對(duì)價(jià)格有直接關(guān)系。當(dāng)點(diǎn)數(shù)增加到某一數(shù)值后,相 應(yīng)的存儲(chǔ)器容量相應(yīng)增加,因此,點(diǎn)數(shù)的增加對(duì)CPU選用、存儲(chǔ)器容量、控制功能只 采等選擇都有不不。在估算和選用時(shí)應(yīng)充分考在,使帶個(gè)控制系統(tǒng)有較合理的性能價(jià)格

21、與。本課題所設(shè)計(jì)的立體倉(cāng)庫(kù)的于此型控制系統(tǒng),結(jié)合經(jīng)濟(jì)性的考在因此選擇帶體型 PLCo所以選擇三菱FX2N-32MR。3. 2. 2 FX2N PLC 的介紹FX2n系列是FX系列PLC家族中最先進(jìn)的系列。由于FX2n系列具備如下特 點(diǎn):最大范圍的包容了標(biāo)準(zhǔn)特點(diǎn)、程式執(zhí)行更快、全面補(bǔ)充了通信功能、適合世界各國(guó) 不同的電源以及滿足單個(gè)需要的大量特殊功能模塊,它可以為你的工廠自動(dòng)化應(yīng)用提供 最大的靈活性和控制能力。FX2N 系列 PLC編輯本段FX2N系列PLC特點(diǎn)FX2n系列是FX系列PLC為大量實(shí)際應(yīng)用而開(kāi)發(fā)的特殊功能開(kāi)發(fā)了各個(gè)范圍的特殊 功能模塊以滿足不同的需要-模擬I/O,高速計(jì)數(shù)器。定位控

22、制達(dá)到16軸,脈沖串 輸出或?yàn)镴和K型熱電偶或Pt傳感器開(kāi)發(fā)了溫度模塊。對(duì)每一個(gè)FX2n主單元可配置總 計(jì)達(dá)8個(gè)特殊功能模塊。網(wǎng)絡(luò)和數(shù)據(jù)通信一一連接到世界上最流行的開(kāi)放式網(wǎng)絡(luò)CC-Link, Profibus Dp和DeviceNet或者釆用傳感器層次的網(wǎng)絡(luò)解決您的通信需要。FX2N 系列 PLC其它功能:內(nèi)置式24V直流電源:24V、400mA直流電源可用于外圍設(shè)備,如傳感器或其它元 件。快速斷開(kāi)端子塊:因?yàn)椴捎昧藘?yōu)良的可維護(hù)性快速斷開(kāi)端子塊,即使接著電纜也可 以更換單元。時(shí)鐘功能和小時(shí)表功能:在所有的FX2NPLC中都有實(shí)時(shí)時(shí)鐘標(biāo)準(zhǔn)。時(shí)間設(shè)置和比較 指令易于操作。小時(shí)表功能對(duì)過(guò)程跟蹤和機(jī)器

23、維護(hù)提供了有價(jià)值的信息。持續(xù)掃描功能:為應(yīng)用所需求的持續(xù)掃描時(shí)間定義操作周期。輸入濾波器調(diào)節(jié)功能:可以用輸入濾波器平整輸入信號(hào)(在基本單元中x000到 x017)注解記錄功能:元件注解可以記錄在程序寄存器中。在線程序編輯:在線改變程序不會(huì)損失工作時(shí)間或停止生產(chǎn)運(yùn)轉(zhuǎn)。RUN/STOP開(kāi)關(guān):面板上運(yùn)行/停止開(kāi)關(guān)易于操作。遠(yuǎn)程維護(hù):遠(yuǎn)處的編程軟件可以通過(guò)調(diào)制解調(diào)器通信來(lái)監(jiān)測(cè)、上載或卸載程序和數(shù) 據(jù)。密碼保護(hù):使用一個(gè)八位數(shù)字密碼保護(hù)您的程序。3. 2.3 PLC I/O點(diǎn)的分配由于PLC的I/O 口的數(shù)量是一定的,特殊的I/O 口有著特殊的作用,如何正確的分 配I/O 口,對(duì)程序的執(zhí)行有著重要的影響

24、。根據(jù)控制要求,將各輸入設(shè)備和被控設(shè)備詳細(xì)列表,準(zhǔn)確的統(tǒng)計(jì)出被控設(shè)備對(duì)數(shù)需 求量,然后在實(shí)際統(tǒng)計(jì)的I/O點(diǎn)數(shù)的基礎(chǔ)上增加15%20%的備用量,以便以后調(diào)整和 擴(kuò)充。同時(shí)要充分利用好輸入和輸出擴(kuò)展單元,提高主機(jī)的利用率,在增加I/O點(diǎn)數(shù) 時(shí),不必改變機(jī)型,可以通過(guò)擴(kuò)展模塊實(shí)現(xiàn),降低了經(jīng)濟(jì)投入。在確定好I/O點(diǎn)數(shù)后, 還要注意它的性質(zhì),類型和參數(shù)、根據(jù)本次設(shè)計(jì)的立體倉(cāng)庫(kù)系統(tǒng)的輸入、輸出信號(hào),其 中外部輸入元件包括:檢測(cè)元件、按鈕、存、急停、復(fù)位開(kāi)關(guān)等;輸出有2個(gè)電機(jī)的正 反向、動(dòng)作指示等。由于步進(jìn)電機(jī)輸入的是高速脈沖所以用YO,Y1作為步進(jìn)電機(jī)的輸入 口,Y2,Y3分別控制電機(jī)的運(yùn)行方向。Y4控制

25、小氣缸。另外輸入部分以X0, XI, X2, X3分別作為啟動(dòng),停止,復(fù)位,檢測(cè)按鈕。3. 3步進(jìn)電機(jī)與驅(qū)動(dòng)器的選擇3. 3.1步進(jìn)電機(jī)驅(qū)動(dòng)器的原理及選擇由于步進(jìn)電機(jī)不能與PLC直接相連,想要實(shí)現(xiàn) PLC控制步進(jìn)電機(jī)的運(yùn)行這就需要一種中間裝置, 一般我們會(huì)選擇步進(jìn)電機(jī)驅(qū)動(dòng)器,以此來(lái)實(shí)現(xiàn)PLC 對(duì)步進(jìn)電機(jī)的控制。步進(jìn)電機(jī)的運(yùn)行要有一電子裝置進(jìn)行驅(qū)動(dòng),這種 裝置就是步進(jìn)電機(jī)驅(qū)動(dòng)器,它是把控制系統(tǒng)發(fā)出的 脈沖信號(hào)轉(zhuǎn)化為步進(jìn)電機(jī)的角位移,或者說(shuō):控制系 統(tǒng)每 發(fā)一個(gè)脈沖信號(hào),通過(guò)驅(qū)動(dòng)器就使步進(jìn)電機(jī)旋 轉(zhuǎn)一步距角。所以步進(jìn)電機(jī)的轉(zhuǎn)速與脈沖信號(hào)的頻 率成正與。 所有型號(hào)驅(qū)動(dòng)器的輸入信號(hào)都相同,共有三路信號(hào)

26、,它們是:步進(jìn)脈沖信號(hào)CP、方向電平信號(hào)DIR、驅(qū)機(jī)信號(hào)FREE(此端為低電平有效,這時(shí)電機(jī) 處于無(wú)力有狀態(tài);此端為高電平或懸空不接時(shí),此功能無(wú)效,電機(jī)可正常運(yùn)行)。它們 在驅(qū)動(dòng)器內(nèi)部的接口電路都相同,0PT0端為三路信號(hào)的公共端三路輸入信號(hào)在驅(qū)動(dòng)器 內(nèi)部接成共陽(yáng)方式,所以O(shè)PTO端須接外部系統(tǒng)的VCC,如果VCC是+5V則可直接接入; 如果VCC不是+5V則須外部另加限流電阻R,保證給驅(qū)動(dòng)器內(nèi)部光耦提供8-1 5mA的驅(qū) 動(dòng)電流。在該立體倉(cāng)庫(kù)中由于FPO提供的電平為24V,而輸入部分的電平為5V,所以 須外部另加1.8K的限流電阻Ro外接限流電阻R步進(jìn)電機(jī)驅(qū)動(dòng)器的輸出信號(hào)有兩種: 、初相位信

27、號(hào):驅(qū)動(dòng)器每次上電后將使步進(jìn)電機(jī)起始在一個(gè)所定的相位上,這就是初 相位。初相位信號(hào)是指步進(jìn)電機(jī)每次運(yùn)行到初相位期間,此信號(hào)就輸出為高電平,否則 為低電平。此信號(hào)和控制系統(tǒng)配合使此,可它生相位記憶功能。、報(bào)報(bào)輸出信號(hào): 每每驅(qū)動(dòng)器都有多種保護(hù)每每(如:過(guò)壓、過(guò)流、過(guò)溫等)。當(dāng)保護(hù)發(fā)生時(shí),驅(qū)動(dòng)器進(jìn) 入驅(qū)機(jī)狀態(tài)使電機(jī)驅(qū)電,但這時(shí)控制系統(tǒng)可能但 未知未。如要通知系統(tǒng),就要用到報(bào) 報(bào)輸出信號(hào)。此信號(hào)此兩個(gè)接線端子,此兩端為一繼電器的常開(kāi)點(diǎn),報(bào)報(bào)時(shí)觸點(diǎn)立即 閉合。驅(qū)動(dòng)器正常時(shí),觸點(diǎn)為常 開(kāi)狀態(tài)。觸點(diǎn)規(guī)格:DC24V / 1A或AC110V/0. 3A。一 一來(lái)說(shuō),對(duì)于兩相四根線電機(jī)可以直接和驅(qū)動(dòng)器相連。所

28、以我采用SH系列步進(jìn)電動(dòng)機(jī) 驅(qū)動(dòng)器,型號(hào)為SH-2H057。主要由電源輸入部分、信號(hào)輸入部分、輸出部分組成。 SH-2H057步進(jìn)電動(dòng)機(jī)驅(qū)動(dòng)器釆用步步結(jié)構(gòu),此種結(jié)構(gòu)主要用于此功率驅(qū)動(dòng)器,這種結(jié)構(gòu) 為這閉的超此型結(jié)構(gòu),本身不帶風(fēng)機(jī),其外殼即為其熱體,所以使用時(shí)要將其所定在較 厚、較大的的的的上或較厚的機(jī)較內(nèi),接觸面之間要接上導(dǎo)熱接接,在其在邊加一個(gè)風(fēng) 機(jī)也是一種較好的其熱好法。此步進(jìn)電機(jī)驅(qū)動(dòng)器的電氣技術(shù)數(shù)據(jù)為:步進(jìn)電機(jī)驅(qū)動(dòng)器的 電氣技術(shù)數(shù)據(jù)細(xì)分?jǐn)?shù)驅(qū)動(dòng)器型號(hào)相數(shù)類別通過(guò)撥位開(kāi)關(guān)設(shè)定SH-2H057二相或四相混合 式二相八拍最大相電流開(kāi)關(guān)設(shè)定一組直流3. 0A,DC(24V-40V)工作電源。3.

29、3.2步進(jìn)電機(jī)的工作原理及選擇在系統(tǒng)中要將貨物放到指定地點(diǎn),這就需要步進(jìn)電機(jī)在螺紋桿的運(yùn)動(dòng)來(lái)實(shí)現(xiàn),選擇 一種正確的步進(jìn)電機(jī)有利于程序的執(zhí)行。下面簡(jiǎn)要的介紹一下步進(jìn)電機(jī)的基本組成以及 工作原理。進(jìn)給伺服驅(qū)動(dòng)系統(tǒng)的驅(qū)動(dòng)電機(jī)有步進(jìn)電機(jī)、直流伺服電機(jī)、交流伺服電機(jī)和直線電 機(jī)。步進(jìn)電機(jī)應(yīng)用于開(kāi)環(huán)伺服驅(qū)動(dòng)系統(tǒng);直流伺服電機(jī)、交流伺服電機(jī)和直線電機(jī)主要 應(yīng)用于半閉環(huán)或閉環(huán)進(jìn)給伺服驅(qū)動(dòng)系統(tǒng)。步進(jìn)電機(jī)受驅(qū)動(dòng)器的控制,將進(jìn)給指令脈沖信號(hào)變換為具有一定方向、大小和速度 的機(jī)械轉(zhuǎn)角位移,并通過(guò)齒輪和絲杠帶動(dòng)工作臺(tái)移動(dòng)。驅(qū)動(dòng)器每給步進(jìn)電機(jī)輸入一個(gè)電脈沖信號(hào),步進(jìn)電機(jī)轉(zhuǎn)動(dòng)一個(gè)角度,稱為步距角, 其轉(zhuǎn)動(dòng)角s度與電脈沖信號(hào)

30、個(gè)數(shù)成正比,轉(zhuǎn)動(dòng)速度與電脈沖信號(hào)的頻率成正比。步進(jìn)電 機(jī)的各相繞組在通電狀態(tài)時(shí),電機(jī)具有自鎖能力,同時(shí),每轉(zhuǎn)一周都有固定的步數(shù),從 理論上來(lái)說(shuō)步進(jìn)電機(jī)的步距誤差不會(huì)累積。但步進(jìn)電機(jī)在大負(fù)載和速度較高的情況下容 易失步,而且,能耗也大。步進(jìn)電機(jī)主要用于速度和精度要求不太高的經(jīng)濟(jì)型數(shù)控機(jī)床。1. 步進(jìn)電機(jī)的種類、結(jié)構(gòu)及工作原理1. 步進(jìn)電機(jī)的種類步進(jìn)電機(jī)的分類方式很多,常見(jiàn)的分類方式有按相數(shù)、按產(chǎn)生力矩的原理、按輸出 力矩的大小和結(jié)構(gòu)進(jìn)行分類。1)按相數(shù)分類步進(jìn)電機(jī)按相數(shù)(即磁極對(duì)數(shù))可分為三相、四相、五相、六相等。相數(shù)越多,步 距角越小,輸出轉(zhuǎn)矩越大,但結(jié)構(gòu)也越復(fù)雜。通電方式采用m相m拍、雙m拍

31、和m相 2m拍等。2)按力矩產(chǎn)生的原理分類步進(jìn)電機(jī)根據(jù)磁場(chǎng)建立方式可分為反應(yīng)式、永磁反應(yīng)式和混合式三類。反應(yīng)式步進(jìn)電機(jī)的定子有勵(lì)磁繞組,而轉(zhuǎn)子用軟磁材料制成無(wú)繞組,由被勵(lì)磁的定 子繞組產(chǎn)生反應(yīng)力矩實(shí)現(xiàn)步進(jìn)運(yùn)動(dòng)。永磁反應(yīng)式步進(jìn)電機(jī)的定子和轉(zhuǎn)子均有勵(lì)磁繞組(或轉(zhuǎn)子用永磁材料制成),由電 磁力矩實(shí)現(xiàn)步進(jìn)運(yùn)動(dòng),具有輸出轉(zhuǎn)矩大、步距角小和額定電流小等優(yōu)點(diǎn),缺點(diǎn)是轉(zhuǎn)子容 易失磁,導(dǎo)致電磁轉(zhuǎn)矩下降?;旌鲜讲竭M(jìn)電機(jī)是反應(yīng)式與永磁式步進(jìn)電機(jī)的混合,結(jié)合了兩者的優(yōu)點(diǎn)有逐步取代 反應(yīng)式步進(jìn)電機(jī)的趨勢(shì)。3)按輸出力矩的大小分類根據(jù)步進(jìn)電機(jī)輸出力矩的大小可分為快速步進(jìn)電機(jī)和功率步進(jìn)電機(jī)兩類??焖俨竭M(jìn) 電機(jī),輸出力矩一般

32、為0. 07Nm4Nm,用于帶動(dòng)小型精密機(jī)床的工作臺(tái)(如線切割機(jī)床)。 功率步進(jìn)電機(jī)輸出力矩在5 Nm50 Nm以上,可以直接驅(qū)動(dòng)工作臺(tái)。4)按結(jié)構(gòu)分類步進(jìn)電機(jī)按結(jié)構(gòu)可分為軸向分相式(即多段式)和徑向分相式(即單段式)。軸向 式步進(jìn)電機(jī)是沿著軸向長(zhǎng)度分成磁性能獨(dú)立的幾段,每一段都用一組繞組勵(lì)磁,形成一 相。徑向分相式步進(jìn)電機(jī)沿著圓周的徑向分成磁性獨(dú)立的幾相,每一相都用一組繞組勵(lì) 磁。2. 徑向分相式步進(jìn)電機(jī)的組成及工作原理如圖3-2所示,步進(jìn)電機(jī)由定子1和轉(zhuǎn)子3兩部分組成。定子鐵心由硅鋼片疊壓而 成,定子繞組繞置在定子鐵心6個(gè)均勻分布的齒上,在直徑方向上相對(duì)的兩個(gè)齒上的線 圈串聯(lián)在一起,構(gòu)成一

33、相控制繞組,共有三相定子繞組,稱為三相步進(jìn)電機(jī)。轉(zhuǎn)子用軟 磁材料制成無(wú)繞組。H圖3-2三相徑向分相反應(yīng)式步進(jìn)電機(jī)原理圖1-定子2-定子繞組3-轉(zhuǎn)子工作原理如圖3-3所示,當(dāng)A相繞組通以直流電流時(shí),根據(jù)電磁學(xué)原理,便會(huì)在 AAZ方向上產(chǎn)生一磁場(chǎng),A相繞組的磁力線為保持磁阻量小,給轉(zhuǎn)子施加電磁力矩,使 轉(zhuǎn)子的1、3齒與定子AA磁極對(duì)齊,如圖3-3 AB-或ACCBBA- AC方式通電,則稱為雙三拍通電方式,步距角仍為30。雙三拍工作方式由于工作過(guò)程中始終保持有一相定子繞 組通電,可有效克服單三拍繞組通電切換瞬間失去自鎖轉(zhuǎn)矩而導(dǎo)致失步的現(xiàn)象,所以平 衡性更好,故在實(shí)際工作過(guò)程中多采用雙三拍工作方式。

34、如果定子繞組按 A-AB-B-BC-C-CA-A 或按 A AC C- CBBBAA順序通電,稱為三相六拍方式,如圖3-4所示以三相六拍通電方 式工作,當(dāng)A相通電轉(zhuǎn)為A和B同時(shí)通電時(shí),轉(zhuǎn)子的磁極將同時(shí)受到A相繞組產(chǎn)生的磁 場(chǎng)和B相繞組產(chǎn)生的磁場(chǎng)的共同吸引,轉(zhuǎn)子的磁極則停在A和B兩相磁極之間,此時(shí)步 距角為15 ,減小一半。45相通電1栢道電UA相通電圖3-4步進(jìn)電機(jī)三相六拍通電方式工作原理圖由此可見(jiàn),改變定子繞組的通電順序,可改變電機(jī)的旋轉(zhuǎn)方向。改變定子繞組通電 的頻率,可改變轉(zhuǎn)子的轉(zhuǎn)速。步進(jìn)電機(jī)的步距角與定子繞組的相數(shù)、轉(zhuǎn)子的齒數(shù)以及通 電方式有關(guān)。3. 步進(jìn)電機(jī)的主要特性1)步距角步距角a

35、是決定步進(jìn)式伺服系統(tǒng)脈沖當(dāng)量的重要參數(shù)。步距角越小,脈沖當(dāng)量越小, 數(shù)控機(jī)床的控制精度越高。步距角a :3斷a =mzk式中m定子繞組的相數(shù);z轉(zhuǎn)子的齒數(shù);k步進(jìn)電機(jī)的通電方式,為m相m拍時(shí),k=l;為m相2m拍時(shí),k=2;依此類推。實(shí)際步進(jìn)電機(jī)的定子磁極與轉(zhuǎn)子圓周上都有齒,如圖3-5所示。定子磁極的齒距與 轉(zhuǎn)子的齒距相同,只是定子磁極的齒依次與轉(zhuǎn)子的齒錯(cuò)開(kāi)齒距的1/m (in為步進(jìn)電機(jī)相 數(shù))。這樣,每次定子繞組通電狀態(tài)改變時(shí),轉(zhuǎn)子只轉(zhuǎn)過(guò)齒間夾角的1/m (三相三拍) 或l/2m (三相六拍)角度。若在三相定子的每個(gè)磁極上有5個(gè)小齒,齒槽等寬,齒間 夾角為9。,小齒在空間位置上依次錯(cuò)開(kāi)1/3

36、齒距。轉(zhuǎn)子上均勻分布40個(gè)小齒,齒槽 等寬,齒間夾角也為9。當(dāng)A相磁極上的小齒與轉(zhuǎn)子上的小齒對(duì)齊時(shí),B相磁極上的 齒剛好超前(或滯后)轉(zhuǎn)子齒1/3齒距(即3。), C相磁極齒超前(或滯后)轉(zhuǎn)子齒2/3齒距角。當(dāng)按三相三拍通電方式工作時(shí),步距角為:= 360= 360 /(3x40xl) = 3當(dāng)按三相六拍通電方式工作時(shí),步距角為:a = 360= 360/(3x40x2) = 1.5定子各相齒轉(zhuǎn)子宙展開(kāi)方向圖3-5步進(jìn)電機(jī)各相定子與轉(zhuǎn)子的齒距對(duì)應(yīng)關(guān)系2)步距誤差步進(jìn)電機(jī)每走一步,轉(zhuǎn)子實(shí)際的角位移與設(shè)計(jì)的步距角之間都存在步距誤差。連續(xù) 走若干步時(shí),上述誤差形成累積值。轉(zhuǎn)子轉(zhuǎn)過(guò)一圈后,回至上一轉(zhuǎn)的

37、穩(wěn)定位置,因此步 進(jìn)電機(jī)的步距誤差不會(huì)長(zhǎng)期累積。影響步進(jìn)電機(jī)步距誤差和累積誤差的主要因素有:齒 與磁極的分度精度、鐵心疊壓及裝配精度、各相矩角特性之間差別的大小、氣隙的不均 勻程度等。對(duì)于本系統(tǒng)步進(jìn)電機(jī)的選擇,在通過(guò)實(shí)用性,及價(jià)格方面的綜合考慮決定選用 17HSU1型步進(jìn)電機(jī),這種型號(hào)的步進(jìn)電機(jī)引出四根線分別是紅色,綠色,黃色和藍(lán)色; 其中紅色引線應(yīng)該與步進(jìn)驅(qū)動(dòng)器的A接線端子相連,綠色引線應(yīng)該與步進(jìn)電機(jī)驅(qū)動(dòng)器的 A負(fù)相連,黃色引線應(yīng)與步進(jìn)電機(jī)驅(qū)動(dòng)器的B相連,藍(lán)色引線應(yīng)與步進(jìn)電機(jī)驅(qū)動(dòng)器的B 負(fù)相連。3. 4接近開(kāi)關(guān)的工作原理及選擇為了在系統(tǒng)運(yùn)行時(shí)能知道當(dāng)前的倉(cāng)庫(kù)是否有貨需要在每一個(gè)倉(cāng)位里裝有一個(gè)

38、傳感 器,以此來(lái)判斷倉(cāng)庫(kù)是否有貨,繼而控制程序的執(zhí)行。在此我選擇了電感式傳感器,同 時(shí)也介紹一下其他種類的傳感器。電感式接近開(kāi)關(guān)屬于一種有開(kāi)關(guān)量輸出的位置傳感器,它由LC高頻振蕩器和放大 處理電路組成,利用金屬物體在接近這個(gè)能產(chǎn)生電磁場(chǎng)的振蕩感應(yīng)頭時(shí),使物體內(nèi)部產(chǎn) 生渦流。這個(gè)渦流反作用于接近開(kāi)關(guān),使接近開(kāi)關(guān)振蕩能力衰減,內(nèi)部電路的參數(shù)發(fā)生 變化,由此識(shí)別出有無(wú)金屬物體接近,進(jìn)而控制開(kāi)關(guān)的通或斷。這種接近開(kāi)關(guān)所能檢測(cè) 的物體必須是金屬物體。1、概述接近傳感器可以在不與目標(biāo)物實(shí)際接觸的情況下檢測(cè)靠近傳感器的金屬目標(biāo)物。根 據(jù)操作原理,接近傳感器大致可以分為以下三類:利用電磁感應(yīng)的高頻振蕩型,使用

39、磁 鐵的磁力型和利用電容變化的電容型。特性;非接觸檢測(cè),避免了對(duì)傳感器自身和目標(biāo)物的損壞。無(wú)觸點(diǎn)輸出,操作壽命長(zhǎng)。即使在有水或油噴濺的苛刻環(huán)境中也能穩(wěn)定檢測(cè)。反應(yīng)速度快。小型感測(cè)頭,安裝靈活。2、類型(1) 按配置來(lái)分(2) 、按檢測(cè)方法分通用型:主要檢測(cè)黑色金屬(鐵)。所有金屬型:在相同的檢測(cè)距離內(nèi)檢測(cè)任何金屬。有色金屬型:主要檢測(cè)鋁一類的有色金屬。3、高頻振蕩型接近傳感器的工作原理電感式接近傳感器由高頻振蕩、檢波、放大、觸發(fā)及輸出電路等組成。振蕩器在傳 感器檢測(cè)面產(chǎn)生一個(gè)交變電磁場(chǎng),當(dāng)金屬物體接近傳感器檢測(cè)面時(shí),金屬中產(chǎn)生的渦流 吸收了振蕩器的能量,使振蕩減弱以至停振。振蕩器的振蕩及停振這

40、二種狀態(tài),轉(zhuǎn)換為 電信號(hào)通過(guò)整形放大轉(zhuǎn)換成二進(jìn)制的開(kāi)關(guān)信號(hào),經(jīng)功率放大后輸出。下面為詳細(xì)介紹:(1) 通用型接近傳感器的工作原理振蕩電路中的線圈L產(chǎn)生一個(gè)高頻磁場(chǎng)。當(dāng)目標(biāo)物接近磁場(chǎng)時(shí),由于電磁感應(yīng)在目 標(biāo)物中產(chǎn)生一個(gè)感應(yīng)電流(渦電流)。隨著目標(biāo)物接近傳感器,感應(yīng)電流增強(qiáng),引起振 蕩電路中的負(fù)載加大。然后,振蕩減弱直至停止。傳感器利用振幅檢測(cè)電路檢測(cè)到振蕩 狀態(tài)的變化,并輸出檢測(cè)信號(hào)。振幅變化的程度隨目標(biāo)物金屬種類的不同而不同,因此檢測(cè)距離也隨目標(biāo)物金屬的 種類不同而不同。(2) 所有金屬型傳感器的工作原理所有金屬型傳感器基本上屬于高頻振蕩型。和普通型一樣,它也有一個(gè)振蕩電路, 電路中因感應(yīng)電流

41、在目標(biāo)物內(nèi)流動(dòng)引起的能量損失影響到振蕩頻率。目標(biāo)物接近傳感器 時(shí),不論目標(biāo)物金屬種類如何,振蕩頻率都會(huì)提高。傳感器檢測(cè)到這個(gè)變化并輸出檢測(cè)信號(hào)。(3) 有色金屬型傳感, 有色金屬傳感器基本上 目標(biāo)物內(nèi)流動(dòng)引起的能量茹 接近傳感器時(shí),振蕩頻率塢 低。如果振蕩頻率高于參老所以從各方面考慮本謂i電路,電路中因感應(yīng)電流在 或銅之類的有色金屬目標(biāo)物 I接近傳感器時(shí),振蕩頻率降3. 5系統(tǒng)外部硬件的連接方式X0啟動(dòng)“XI停止卩X2復(fù)位“1-*X3監(jiān)控CONL-本系統(tǒng)以PLC的XO, XI, X2,分別連接外部的SB1啟動(dòng)按鈕,SB2停止按鈕,SB3復(fù) 位按鈕。如圖3-6所示。圖3-6 PLC與按鈕的連接另

42、外接近開(kāi)關(guān)傳感器的三根線一根連接24V直流電源,一根接地,還有一根連接PLC的輸入端。連接方法如圖3-7所示。圖3-7 PLC與接近開(kāi)關(guān)的連接而PLC的另一端的輸出與步進(jìn)電機(jī)和小氣缸的電磯閥向連,與步進(jìn)電機(jī)相連時(shí),步 進(jìn)電機(jī)有兩種接法,這與控制信號(hào)有關(guān),三菱的PLC是低電位信號(hào),因此應(yīng)該采用共陽(yáng) 接法。在PLC與步進(jìn)電機(jī)之間要加上2K的電阻,因?yàn)椴竭M(jìn)電機(jī)的控制信號(hào)是+5V,而三 菱的PLC的輸出信號(hào)通常是+24V,這是不匹配的。所以要在兩者之間要加上2K的電阻, 起分氐 限流作用。另外步進(jìn)電機(jī)的CP-,是脈沖輸入端子,只能與Y0或Y1向連接, 因?yàn)樵谌釶LC中YO, Y1為高速輸出脈沖。DI

43、R+是方向控制信號(hào)接線端子。PLC與步進(jìn) 電機(jī),步進(jìn)電機(jī)驅(qū)動(dòng)器的接線如圖3-8所示。圖3-8 PLC與步進(jìn)電機(jī)驅(qū)動(dòng)器的接線步進(jìn)電機(jī)驅(qū)動(dòng)器與步進(jìn)電機(jī)相連接時(shí)只要驅(qū)動(dòng)器的四根線與步進(jìn)電機(jī)的四根線一 一對(duì)應(yīng)即可。如圖3-9所示。步進(jìn)驅(qū)動(dòng)器步進(jìn)電機(jī)242CP-A#A+eV .CPA 7DIR-B2_ BDIR-jV+ 步進(jìn)驅(qū)動(dòng)器步進(jìn)電機(jī)3CP-A*A+eCP+A“DIRrB4B*DidBV*圖3-9步進(jìn)驅(qū)動(dòng)器與步進(jìn)電機(jī)相連Y4與電磁閥相連作為氣動(dòng)回路它的氣動(dòng)原理圖如圖3-10所示。第四章軟件部分4.1 GX-developer 編程軟件每一種產(chǎn)品的PLC都有與之相對(duì)應(yīng)的編程軟件,想要編寫(xiě)PLC的程序就

44、得在編程 軟件中編寫(xiě),然后輸入到PLC里。對(duì)于三菱的編程軟件有FX系列,GX系列,MX系列等, 其中GX系列的編程軟件相比FX系列的編程軟件的通用型比較好,而且還可以編寫(xiě)國(guó)產(chǎn) 品牌的PLC,實(shí)用性比較好,在此我選擇了 GX-developer編程軟件來(lái)編寫(xiě)程序,以下 是對(duì)本編程軟件的一般使用的介紹。1 打開(kāi)軟件后一新建項(xiàng)目一選擇PLC類型確定后,進(jìn)入程序編輯界面:圖4-12創(chuàng)建梯形圖卜1ELSOFT至列GE Developer工程未設(shè)置-梯形圖(寫(xiě)入1- n|xl二工程編輯d)查找/替換(S)變換()顯示(Y)在線(Q)診斷I工具CD 窗口 QD1程序二lz二聞1卜円円丨憂i 1 11F5 1

45、 SF5 1 F6 1 sF6 ! F7 | F3 F9 | sF91 sF7;4小|屮円巴円I| |u|H|1 sF8 I aF7 aF3 |! FW| Fl 0 |-aF9 |工程準(zhǔn)備完畢FX2H(C)本站圖4-2建完新工程后,會(huì)彈出梯形圖編輯畫(huà)面如上圖所示:畫(huà)面左邊是參數(shù)區(qū),主要設(shè)置PLC的各種參數(shù),右邊是編程區(qū),程序都編在這一塊。然后在上圖所示的“COM端口”中選擇與電腦USB 口一致,然后“確認(rèn)”。爲(wèi)設(shè)備管理蠱文件迥 操作(A)直看W 幫助03昌剪回閩幼20081125二1508+ “HVD/CD-ROM 馳動(dòng)器+號(hào)IDE ATVATAPI控制器 田園PCMCIA卡+倉(cāng)SCSI和RA

46、ID控制器 t誨處理器+ 9磁盤(pán)馳動(dòng)器圖4-4設(shè)置完串口,點(diǎn)擊“通訊測(cè)試”:若出現(xiàn)“與FXPLC連接成功”對(duì)話框,則說(shuō)明可以與PLC進(jìn)行通訊。若出現(xiàn)“不能與PLC通信,可能原因?!睂?duì)話框,則說(shuō)明電腦和PLC不能建 立通訊,此時(shí)需要確認(rèn)PLC電源有沒(méi)有接通或編程電纜有沒(méi)有正確連接等事項(xiàng),直到 點(diǎn)擊“通信測(cè)試后”,顯示連接成功。通信測(cè)試連接成功后,點(diǎn)擊“確認(rèn)”,則會(huì)回到工程主畫(huà)面,如下圖所示圖4-5不管是“PLC寫(xiě)入”還是“PLC讀取”,選擇后都會(huì)出現(xiàn)如下畫(huà)面:12圖4-6一般我們讀取或?qū)懭氲氖浅绦蚣耙恍﹨?shù),操作過(guò)程如下:選擇“參數(shù)+程序”點(diǎn)擊“執(zhí)行”點(diǎn)擊“是”5程序的監(jiān)控一一快捷鍵F3連接好P

47、LC,則可以通過(guò)“監(jiān)視”功能對(duì)程序中的信號(hào)及數(shù)據(jù)進(jìn)行監(jiān)控。 其操作過(guò)程如下。點(diǎn)擊“在線”菜單-選擇“監(jiān)視”“監(jiān)視模式”監(jiān)視后,程序中藍(lán)色部分表示此信號(hào)能流通,沒(méi)有變藍(lán)的信號(hào)則不能流通。jjpBELSOFTS列 CX Dcvclopcx 工雀未設(shè)頁(yè)-樣形Bao&ia 執(zhí)行中)waiji.口、二工理 m (2)2E(C)異云GO在拔)|i)工貝 ) Wtt)- 5 X習(xí)穌鶴眾丄1咼証WW1FLCKK). 5PLC寫(xiě)入Oft閃卡1(L)(IX004%QK)| 左視II式8)T3凋試V3s農(nóng)蟲(chóng)入枝式)電匸SKWF3面)匕)Ctrl*F3ixl8*fE 仗)A1W8E視停止準(zhǔn)羽面)0)Ctrl*AltF

48、5比 WTHZtlJIUL2QHKruc 內(nèi)存i .當(dāng)Mi磴占厲切拱(2毬1) Q)當(dāng)MSfflKffi-CTJl. (leffitt) Qj時(shí)丼邊*2二戟元件ftdfca). 狀元件5E錄工).flc用戶皺18 qp煤沖內(nèi)ffllttl).圖47注:若要監(jiān)控PLC程序的狀態(tài),一定要在通訊成功后才能執(zhí)行,若沒(méi)有與PLC 通訊成功,則不能對(duì)PLC監(jiān)控。6程序的在線修改(在線編輯)一一快捷鍵:先按Shift然后按F3。在線編輯:直接在PLC中修改程序,修改后無(wú)需再把程序?qū)懭隤LC圖4-8修改完成后,被修改的對(duì)象會(huì)顯示灰色,此時(shí)我們同樣要對(duì)程序進(jìn)行編譯,編譯方 法與前面所述的相同,編譯完成后,即程序

49、在線修改完成。注:程序的在線修改是直接把PLC里面的程序進(jìn)行修改,不需要再進(jìn)行PLC寫(xiě)入操 作。而普通的修改(沒(méi)有在線修改),則只是修改電腦軟件中的程序,而PLC內(nèi)部的程 序并沒(méi)有被修改,所以要使修改后的程序?qū)懭隤LC,還需進(jìn)行PLC寫(xiě)入操作7.輸入注釋:若要對(duì)一些信號(hào)做一些標(biāo)簽,以便看程序或?qū)懗绦驎r(shí)知道每個(gè)信號(hào)的用途,則可對(duì) 每個(gè)信號(hào)輸入注釋,輸入注釋的操作過(guò)程如下:第一個(gè)在“工具”里面點(diǎn)擊“選項(xiàng)”第二個(gè)在“選項(xiàng)”里面有一個(gè)“輸入注釋”在此項(xiàng)打勾。圖4一9第二步:在編輯里面有一個(gè)“文檔生成”點(diǎn)擊一下“注釋編輯”編輯的注釋就可以顯示出來(lái)了 O圖4104.2 PLC梯形圖概述梯形圖是使用得最多的

50、圖形編程語(yǔ)言,被稱PLC的第一編程語(yǔ)言。梯形圖與電器 控制系統(tǒng)的電路圖很相似,具有直觀易懂的優(yōu)點(diǎn),很容易被工廠電氣人員掌握,特別 適用于開(kāi)關(guān)量邏輯控制。梯形圖常被稱為電路或程序,梯形圖的設(shè)計(jì)稱為編程。PLC梯 形圖中的某些編程元件沿用了繼電器這一名稱,如輸入繼電器、輸出繼電器、內(nèi)部輔助 繼電器等,但是它們不是真實(shí)的物理繼電器,而是一些存儲(chǔ)單 元(軟繼電器),每一軟 繼電器與PLC存儲(chǔ)器中映像寄存器的一個(gè)存儲(chǔ)單元相對(duì)應(yīng)。該存儲(chǔ)單元如果為“1” 狀態(tài),則表示梯形圖中對(duì)應(yīng)軟繼電器的線圈“通電”,其常開(kāi)觸點(diǎn)接通,常閉觸點(diǎn)斷開(kāi), 稱這種狀態(tài)是該軟繼電器的“1”或“ON”狀態(tài)。如果該存儲(chǔ)單元為“0”狀態(tài),

51、對(duì)應(yīng) 軟繼電器的線圈和觸點(diǎn)的狀態(tài)與上述的相反,稱該軟繼電器為“0”或“OFF”狀態(tài)。使 用中也常將這些“軟繼電器”稱為編程元件。開(kāi)關(guān)量:按電壓水平分,有220VAC. 110VAC、24VDC,按隔離方式分,有繼電器隔離和晶體管隔離。模擬量:按其精度可分為12bit、 14bit、16bit等;按信號(hào)類型可以分為的有電流型(4-20mA, 0-20mA)、電壓型(0-10V, 0-5V, -10-10V)等。除了上述通用I/O外,還有特殊I/O模塊,如熱電阻、熱電偶、脈沖等模塊。梯形圖兩側(cè)的垂直公共線稱為母線(Bus bar)在分析梯形圖的邏輯關(guān)系時(shí),為了借用繼電器電路圖的分析方法,可以想象左

52、右兩側(cè)母線(左母線和右母 線)之間有一個(gè)左正右負(fù)的直流電源電壓,母線之間有“能流”從左向右流動(dòng)。右母線M8002可以不畫(huà)出%我點(diǎn)的狀態(tài)和邏輯關(guān)補(bǔ)球出應(yīng)的編程元件的狀基陛梯形圖的邏輯解算。梯形圖中邏輯解算是按從左至右、從上到下的 順序進(jìn)行的。熊筍的続暑 像寄存器中的值訶幣是的接口,是通過(guò)輸*算世鄒分 入暫存器反映翰入信號(hào)狀態(tài), 字信號(hào)進(jìn)入譏&系阿輸出模塊相反30分為開(kāi)關(guān)量輸兒血),卉關(guān)量輸出(哼), 模擬量輸入(AI),模擬量輸出(A0)等模塊。和量L按電唐水光分,有腫20VAp、 隔離方式分,有繼電器隔離和晶體管隔離。模擬量:按其精度可分為12bit、)4bit J 16bit等;按信號(hào)類型可分為電流型(4-Z0mA,電莊型(0-10V, 0-5V, -10-10V)等。除了上述通用I/O外,還有特殊I/O模塊,如熱電阻、楓以被后面的邏輯解算所利用。邏輯解算是根據(jù)輸入映外部輸沢觸點(diǎn)的狀態(tài)來(lái)進(jìn)行的PE與電氣回路(I/O)完成的。I/O模塊集成了 PLC的I/O電路,其輸 輸出點(diǎn)反映輸出鎖存器狀態(tài)。輸入模塊將電信號(hào)變換成數(shù)110VAC、 24VDC,熱電偶、脈沖等模塊。4.3本PLC程序的簡(jiǎn)單介紹第一段程序主要是講的系統(tǒng)的啟動(dòng)與初始化。這段程序是以XO為啟動(dòng)外接常開(kāi),XI為停止按鈕外接常閉,以Ml的上升沿來(lái)初

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論