彩燈控制系統(tǒng)課程設(shè)計(jì)報(bào)告_第1頁(yè)
彩燈控制系統(tǒng)課程設(shè)計(jì)報(bào)告_第2頁(yè)
彩燈控制系統(tǒng)課程設(shè)計(jì)報(bào)告_第3頁(yè)
彩燈控制系統(tǒng)課程設(shè)計(jì)報(bào)告_第4頁(yè)
彩燈控制系統(tǒng)課程設(shè)計(jì)報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩23頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 本科生課程設(shè)計(jì)題 目: 彩燈控制系統(tǒng) 課 程: 數(shù)字電子技術(shù)基礎(chǔ) 專 業(yè): 電氣工程及其自動(dòng)化 班 級(jí): 電氣 學(xué) 號(hào): 姓 名: 指導(dǎo)教師: 完成日期: 總 目 錄第一部分:任務(wù)書(shū) 第二部分:課程設(shè)計(jì)報(bào)告第 一 部 分任務(wù)書(shū)數(shù)字電子技術(shù)課程設(shè)計(jì)計(jì)劃1、 方案設(shè)計(jì);(一天)根據(jù)設(shè)計(jì)任務(wù)書(shū)給定的技術(shù)指導(dǎo)和條件,進(jìn)行調(diào)查研究、查閱參考文獻(xiàn),進(jìn)行反復(fù)比較和可行性論證,確定出方案電路,畫(huà)出主要單元電路,數(shù)據(jù)通道,輸入、輸出及重要控制信號(hào)概貌的框圖。2、 電路設(shè)計(jì):(一天半)根據(jù)方案設(shè)計(jì)框圖,并畫(huà)出詳細(xì)的邏輯圖3、 裝配圖設(shè)計(jì):(半天)根據(jù)給定的元器件,結(jié)合邏輯圖,設(shè)計(jì)出電路制作的具體裝配圖(即繪出組

2、件數(shù)量,管腳號(hào)以及器件布置的實(shí)際位置)。同時(shí)配以必要的文字說(shuō)明。4、 電路制作:(一天半)對(duì)選定的設(shè)計(jì),按裝配圖進(jìn)行裝配,調(diào)試實(shí)驗(yàn)。5、 總結(jié)鑒定:(半天)考核樣機(jī)是否全面達(dá)到現(xiàn)定的技術(shù)指標(biāo),能否長(zhǎng)期可靠地工作,并寫(xiě)出設(shè)計(jì)總結(jié)報(bào)告。數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計(jì)任務(wù)書(shū)一、課程設(shè)計(jì)的目的本課程是在學(xué)完數(shù)字電子技術(shù)基礎(chǔ)、數(shù)字電子技術(shù)實(shí)驗(yàn)之后,集中一周時(shí)間,進(jìn)行的復(fù)雜程度較高、綜合性較強(qiáng)的設(shè)計(jì)課題的實(shí)做訓(xùn)練。主要包括:方案論證、系統(tǒng)電路分析、單元功能電路設(shè)計(jì)、元器件選擇、安裝調(diào)試、計(jì)算機(jī)輔助設(shè)計(jì)、系統(tǒng)綜合調(diào)試與總結(jié)等。使學(xué)生在數(shù)字電子技術(shù)基本知識(shí)、實(shí)踐能力和綜合素質(zhì)、創(chuàng)新意識(shí)、水平諸方面得到全面提高,為后

3、續(xù)課程的學(xué)習(xí),為培養(yǎng)應(yīng)用型工程技術(shù)人才打下重要基礎(chǔ)。通過(guò)本課程設(shè)計(jì)可培養(yǎng)和提高學(xué)生的科研素質(zhì)、工程意識(shí)和創(chuàng)新精神。真正實(shí)現(xiàn)了理論和實(shí)際動(dòng)手能力相結(jié)合的教學(xué)改革要求。二、課程設(shè)計(jì)的要求1、加強(qiáng)對(duì)電子技術(shù)電路的理解,學(xué)會(huì)查尋資料、方案比較,以及設(shè)計(jì)計(jì)算等環(huán)節(jié),進(jìn)一步提高分析解決實(shí)際問(wèn)題的能力。2、獨(dú)立開(kāi)展電路實(shí)驗(yàn),鍛煉分析、解決電子電路問(wèn)題的實(shí)際本領(lǐng),真正實(shí)現(xiàn)由知識(shí)向技能的轉(zhuǎn)化。3、獨(dú)立書(shū)寫(xiě)課程設(shè)計(jì)報(bào)告,報(bào)告應(yīng)能正確反映設(shè)計(jì)思路和原理,反映安裝、調(diào)試中解決各種問(wèn)題。三、課程設(shè)計(jì)進(jìn)度安排1、方案設(shè)計(jì);(一天)根據(jù)設(shè)計(jì)任務(wù)書(shū)給定的技術(shù)指導(dǎo)和條件,進(jìn)行調(diào)查研究、查閱參考文獻(xiàn),進(jìn)行反復(fù)比較和可行性論證,確

4、定出方案電路,畫(huà)出主要單元電路,數(shù)據(jù)通道,輸入、輸出及重要控制信號(hào)概貌的框圖。2、 電路設(shè)計(jì):(一天)根據(jù)方案設(shè)計(jì)框圖,并畫(huà)出詳細(xì)的邏輯圖3、 裝配圖設(shè)計(jì):(半天)根據(jù)給定的元器件,結(jié)合邏輯圖,設(shè)計(jì)出電路制作的具體裝配圖(即繪出組件數(shù)量,管腳號(hào)以及器件布置的實(shí)際位置)。同時(shí)配以必要的文字說(shuō)明。4、 電路制作:(一天半)對(duì)選定的設(shè)計(jì),按裝配圖進(jìn)行裝配,調(diào)試實(shí)驗(yàn)。5、 總結(jié)鑒定:(半天)考核樣機(jī)是否全面達(dá)到現(xiàn)定的技術(shù)指標(biāo),能否長(zhǎng)期可靠地工作,并寫(xiě)出設(shè)計(jì)總結(jié)報(bào)告。四、設(shè)計(jì)題目及內(nèi)容 彩燈控制系統(tǒng)(1)、控制燈具組數(shù)為8組;(2)、控制燈具花色方案8種(自定);(3)、燈具掃描速度按頻率為1hz、2h

5、z、4hz、8hz可調(diào),每完成一次大循環(huán)可自動(dòng)也可手動(dòng)改變掃描速度,任意時(shí)刻手動(dòng)改變掃描速度;(4)、應(yīng)有五種工作模式的數(shù)碼顯示。(5)、要求控制端不超過(guò)一個(gè)。五、設(shè)計(jì)要求1、 用中小型規(guī)模集成電路設(shè)計(jì)出所要求的電路;2、 在實(shí)驗(yàn)箱上安裝、調(diào)試出所設(shè)計(jì)的電路。3、 部分課題要求用可編程邏輯器件(fpga/cpld)設(shè)計(jì)實(shí)現(xiàn);4、 在eda編程實(shí)驗(yàn)系統(tǒng)上完成硬件系統(tǒng)的功能仿真。5、 寫(xiě)出設(shè)計(jì)、調(diào)試、總結(jié)報(bào)告。六 、器件與器材1、 二輸入四與非門(mén) 74ls002、 四輸入雙與非門(mén) 74ls203、 六倒相器 74ls044、 八輸入與非門(mén) 74ls305、 正沿雙d觸發(fā)器 74ls746、 3線-

6、8線譯碼器 74ls1387、 可逆移位寄存器 74ls1948、 同步十進(jìn)制可逆加、減計(jì)數(shù)器 (8421 bcd碼) 74ls1929、同步十進(jìn)制加法計(jì)數(shù)器 74ls16010、振蕩分頻器 cd406011、bcd七段顯示譯碼器 74ls4812、雙四選一數(shù)據(jù)選擇器 74ls15313、八線驅(qū)動(dòng)器 74ls24414、555定時(shí)器 55515、led共陰七段數(shù)碼管 bs20716、石英晶體(4m、2 m、32768 hz)17、微動(dòng)開(kāi)關(guān)、撥盤(pán)開(kāi)關(guān)、繼電器、led(紅、綠、藍(lán))、電阻、電容、二極管、三極管、光敏二、三極管、導(dǎo)線等。22、工具(鑷子、剪刀、萬(wàn)用表、電烙鐵)七、使用儀器設(shè)備1、 穩(wěn)

7、壓電源(5v,15v);2、 實(shí)驗(yàn)電路箱;3、 信號(hào)發(fā)生器;4、 示波器5、 pc機(jī)(裝有max+plusii軟件);6、 eda編程系統(tǒng)實(shí)驗(yàn)箱等。八、參考文獻(xiàn)1、“模擬電子技術(shù)基礎(chǔ)”和“數(shù)字電子技術(shù)基礎(chǔ)”教材;2、有關(guān)“電子技術(shù)課程設(shè)計(jì)指導(dǎo)書(shū)”;3、“集成電路特性應(yīng)用手冊(cè)”;7、 eda技術(shù)使用教程8、 其他。九、設(shè)計(jì)總結(jié)報(bào)告主要內(nèi)容1、 任務(wù)及要求;2、 方案特點(diǎn);3、 各組成部分及工作原理(應(yīng)結(jié)合框圖寫(xiě));4、 單元電路設(shè)計(jì)與調(diào)試;5、 總邏輯圖;6、 總裝配圖;7、 實(shí)驗(yàn)仿真結(jié)果;8、 實(shí)驗(yàn)結(jié)果分析(畫(huà)出必要的波形,進(jìn)行測(cè)量精度和誤差分析);9、 調(diào)試中出現(xiàn)問(wèn)題的解決;10、改進(jìn)意見(jiàn)及

8、收獲體會(huì)等。第 二 部 分課程設(shè)計(jì)報(bào)告目 錄1 設(shè)計(jì)任務(wù)及要求.112 系統(tǒng)總體設(shè)計(jì)方案.112.1 總體設(shè)計(jì)方案.112.2 方案特點(diǎn).113 可編程邏輯器件概述.113.1 eda與vhdl技術(shù)介紹.114 二分頻電路設(shè)計(jì).12 4.1模塊符號(hào)及說(shuō)明.12 4.2 原理圖(vhdl程序)介紹.124.3 功能仿真.125 四頻率輸出電路設(shè)計(jì).13 5.1模塊符號(hào)及說(shuō)明.135.2 功能仿真.136 四選一數(shù)據(jù)選擇器設(shè)計(jì).14 6.1模塊符號(hào)及說(shuō)明.14 6.2 原理圖(vhdl程序)介紹.146.3 功能仿真.157 頻率的控制電路設(shè)計(jì).15 7.1模塊符號(hào)及說(shuō)明.15 7.2 原理圖(v

9、hdl程序)介紹.157.3 功能仿真.167.4 子模塊分析.167.4.1 自動(dòng)二進(jìn)制輸入.167.4.2 手動(dòng)二進(jìn)制輸入.177.4.3 自動(dòng)與手動(dòng)的控制.198 六十四進(jìn)制計(jì)數(shù)器設(shè)計(jì).20 8.1模塊符號(hào)及說(shuō)明.20 8.2 原理圖(vhdl程序)介紹.208.3 功能仿真.219彩燈花型控制信號(hào)電路設(shè)計(jì).21 9.1模塊符號(hào)及說(shuō)明.21 9.2 原理圖(vhdl程序)介紹.22 9.3 功能仿真.2410 數(shù)碼管顯示電路設(shè)計(jì).24 10.1模塊符號(hào)及說(shuō)明.24 10.2 原理圖(vhdl程序)介紹.2510.3 功能仿真.2511 系統(tǒng)整體電路設(shè)計(jì).26 11.1 系統(tǒng)整體電路的設(shè)計(jì)

10、圖.2611.2 功能仿真.2612 系統(tǒng)硬件電路實(shí)現(xiàn).2713 改進(jìn)意見(jiàn)及收獲體會(huì).2714 器件明細(xì)清單.28 參考文獻(xiàn).281、 設(shè)計(jì)任務(wù)及要求 彩燈控制系統(tǒng)(1)、控制燈具組數(shù)為8組;(2)、控制燈具花色方案8種(自定);(3)、燈具掃描速度按頻率為1hz、2hz、4hz、8hz可調(diào),每完成一次大循環(huán)可自動(dòng)也可手動(dòng)改變掃描速度,任意時(shí)刻手動(dòng)改變掃描速度;(4)、應(yīng)有五種工作模式的數(shù)碼顯示。(5)、要求有使能控制和系統(tǒng)清零功能。2、 系統(tǒng)總體設(shè)計(jì)方案2.1 總體設(shè)計(jì)方案本設(shè)計(jì)首先進(jìn)行系統(tǒng)模塊的劃分,規(guī)定每一個(gè)模塊的功能以及各模塊之間的接口,最終將設(shè)計(jì)方案分為四大模塊:四頻率輸出電路、五種

11、工作模式控制電路、數(shù)碼顯示電路、8樣彩燈控制器。四頻率輸出電路是將送入的8hz信號(hào)分別分頻為4hz、2hz、1hz;五種工作模式控制電路控制不同頻率的時(shí)鐘信號(hào)輸送到彩燈花樣控制器,從而達(dá)到控制彩燈閃爍速度的快慢和花型的的變換;數(shù)碼顯示電路的作用是顯示當(dāng)前演示方案的信號(hào)頻率大小;8樣彩燈控制器是控制彩燈的花樣方案。2.2 方案特點(diǎn) 電路接入8hz,頻率當(dāng)脈沖信號(hào)為高電平時(shí)刻任意切換1hz、2hz、4hz、8hz和自動(dòng)五種工作模式,并由數(shù)碼管顯示對(duì)應(yīng)的“1”、“2”、“4”、“8”數(shù)字,繼而8個(gè)燈按照所設(shè)計(jì)的花型進(jìn)行閃爍而完成彩燈控制電路。3 可編程邏輯器件概述3.1 eda與vhdl技術(shù)介紹 電

12、子設(shè)計(jì)自動(dòng)化(eda)是一種實(shí)現(xiàn)電子系統(tǒng)或電子產(chǎn)品自動(dòng)化設(shè)計(jì)的技術(shù),它與電子技術(shù)、微電子技術(shù)的發(fā)展密切相關(guān),吸收了計(jì)算機(jī)科學(xué)領(lǐng)域的大多數(shù)最新研究成果,以高性能的計(jì)算機(jī)作為工作平臺(tái),是20世紀(jì)90年代初從cad(計(jì)算機(jī)輔助設(shè)計(jì))、cam(計(jì)算機(jī)輔助制造)、cat(計(jì)算機(jī)輔助測(cè)試)和cae(計(jì)算機(jī)輔助工程)的概念發(fā)展而來(lái)的2。eda技術(shù)就是以計(jì)算機(jī)為工具,在eda軟件平臺(tái)上,根據(jù)硬件描述語(yǔ)言hdl完成的設(shè)計(jì)文件,自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合及優(yōu)化、布局線、仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。 硬件描述語(yǔ)言(hdlhardware description lang

13、uage)是一種用于設(shè)計(jì)硬件電子系統(tǒng)的計(jì)算機(jī)語(yǔ)言,它用軟件編程的方式來(lái)描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式,與傳統(tǒng)的門(mén)級(jí)描述方式相比,它更適合大規(guī)模系統(tǒng)的設(shè)計(jì)。vhdl是一種全方位的硬件描述語(yǔ)言,包括系統(tǒng)行為級(jí)、寄存器傳輸級(jí)和邏輯門(mén)級(jí)多個(gè)設(shè)計(jì)層次,支持結(jié)構(gòu)、數(shù)據(jù)流、行為三種描述形式的混合描述,因此vhdl幾乎覆蓋了以往各種硬件描述語(yǔ)言的功能,整個(gè)自頂向下或自底向上的電路設(shè)計(jì)過(guò)程都可以用vhdl來(lái)完成。4 、二分頻電路設(shè)計(jì)4.1模塊符號(hào)及說(shuō)明4.2 原理圖(vhdl程序)介紹library ieee;use ieee.std_logic_1164.all;use ieee.std_logi

14、c_unsigned.all;entity clk_2div is port(clk:in std_logic; div2:out std_logic);end clk_2div;architecture rtl of clk_2div issignal counter:std_logic_vector(2 downto 0);signal clk_temp:std_logic;beginprocess(clk)beginif(clkevent and clk=1)thenclk_temp=not clk_temp; end if;end process; div2=clk_temp;end

15、rtl;4.3 功能仿真5、 四頻率輸出電路設(shè)計(jì)5.1 原理圖(vhdl程序)介紹5.2 功能仿真6 四選一數(shù)據(jù)選擇器設(shè)計(jì)6.1模塊符號(hào)及說(shuō)明6.2 原理圖(vhdl程序)介紹)library ieee;use ieee.std_logic_1164.all;entity sxy41 is port (d0,d1,d2,d3 : in std_logic; a0,a1: in std_logic; y : out std_logic);end sxy41;architecture cond of sxy41 issignal indata:std_logic_vector(1 downto 0

16、);beginindatayyyy0) ; elsif clkevent and clk=1 then if en = 1 then if cqi 0); end if; end if; end if; if cqi = 3 then cout = 1; else cout = 0; end if; cq 0) ; elsif clkevent and clk=1 then if en = 1 then if cqi 0); end if; end if; end if; if cqi = 4 then cout = 1; else cout = 0; end if; cq 0) ; elsi

17、f clkevent and clk=1 then if en = 1 then if cqi 0); end if; end if; end if; if cqi = 63 then cout = 1; else cout = 0; end if; cq lllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllg0=1;g1=0;g2=0;g3g0=0;g1=1;g2=0;g3g0=0;g1=0;g2=1;g3g0=0;g1=0;g2=0;g3=1;end case;end process;end behave;10.

18、3 功能仿真11 系統(tǒng)整體電路設(shè)計(jì)11.1系統(tǒng)整體電路的設(shè)計(jì)圖11.2 功能仿真12 系統(tǒng)硬件電路實(shí)現(xiàn) 13 改進(jìn)意見(jiàn)及收獲體會(huì)改進(jìn)意見(jiàn):如果燈的顏色多種多樣則可以很方便的組成多種漂亮的方案。例如某晚會(huì)用紅綠黃3種彩燈采光,3組燈亮的順序是:紅燈亮綠燈亮黃燈亮紅綠燈亮綠黃燈亮黃紅燈亮全亮全暗。重復(fù)以上過(guò)程??梢院芊奖愕卦O(shè)計(jì)這3組彩燈的控制電路。仿真正確后通過(guò)下載電纜下載到cpld/fpga芯片上即可。芯片可以重復(fù)使用無(wú)數(shù)次。只要擁有計(jì)算機(jī),配上相應(yīng)的軟件就可以隨心所欲的改變彩燈的圖案,而且不受時(shí)間的限制。收獲體會(huì):通過(guò)這次設(shè)計(jì),我掌握了如何用vhdl為基礎(chǔ)的多路彩燈控制設(shè)計(jì)系統(tǒng)的設(shè)計(jì),本設(shè)計(jì)中應(yīng)用了模塊和例化的思想,采用了自頂向下的設(shè)計(jì)方法,將各個(gè)程序串聯(lián)起來(lái)來(lái)實(shí)現(xiàn)多路彩燈控制器的設(shè)計(jì),通過(guò)這次設(shè)計(jì),提高了我的動(dòng)手操作能力讓我對(duì)課本知識(shí)有了更深的認(rèn)識(shí)和了解,從實(shí)踐中發(fā)現(xiàn)問(wèn)題,從實(shí)踐中解決問(wèn)題,理論聯(lián)系實(shí)際,才能很好的解決問(wèn)題,這次設(shè)計(jì)提高了我的操作技能。在這次的課程設(shè)計(jì)中不僅檢驗(yàn)了我所學(xué)習(xí)的知識(shí),也培養(yǎng)了我如何去把握一件事情,如何去做一件事情,又如何完成一件事情。在設(shè)計(jì)過(guò)程中,與同學(xué)分工設(shè)計(jì),和同學(xué)們相互探討,相互學(xué)習(xí)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論