版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、eda課程設(shè)計(jì)一位全加器的設(shè)計(jì)the design of one bit full adder 學(xué)校:蘭州交通大學(xué)學(xué)院:電子與信息工程學(xué)院班級(jí): 姓名: 學(xué)號(hào):指導(dǎo)老師: 成績(jī): 摘要:本設(shè)計(jì)主要是利用vhdl語(yǔ)言設(shè)計(jì)一個(gè)一位全加器,它由半加器和或門兩個(gè)模塊組成。兩個(gè)模塊通過(guò)頂層元件例化連接到一起。幾個(gè)模塊組成的整體能夠?qū)崿F(xiàn)全加器的功能,對(duì)所給數(shù)據(jù),能夠準(zhǔn)確快速地計(jì)算出其結(jié)果.具體的該設(shè)計(jì)利用vhdl語(yǔ)言使用文本輸入,新建工程,通過(guò)設(shè)計(jì)輸入、編譯、仿真完成各種模塊設(shè)計(jì),然后生成元器件,再根據(jù)元件例化完成各部分的整合,從而形成一個(gè)完整的全加器,功能上很好地被滿足。關(guān)鍵字:全加器 元件例化abst
2、ract: this design primarily uses vhdl language to design the one bit full adder, which is composed of two half adder and a or gate. the two modules are connected by top component instance. finally, the whole of several parts achieve the function of full adder. for given dates, it can calculate its c
3、onsequence accurately and quickly. in detail, the design uses text input method by vhdl language to create new projects. by designing the input, compile, simulate, it complete various modules design and generate new components. then it forms a complete one bit full adder by accomplishing the integra
4、tion of all parts, according to component instance. and the function can be well satisfied.key word: full adder component instance一. 原理(說(shuō)明)在計(jì)算機(jī)中2個(gè)二進(jìn)制數(shù)之間的加減乘除算術(shù)運(yùn)算都是由若干加法運(yùn)算實(shí)現(xiàn)的.全加器是算術(shù)邏輯運(yùn)算的重要組成部分,對(duì)其深入探索研究有重要的意義。一位全加器及其表達(dá)式在將2個(gè)多位二進(jìn)制數(shù)相加時(shí),除了最低位以外,每位都應(yīng)該考慮來(lái)自低位的進(jìn)位,即將2個(gè)對(duì)應(yīng)位的加數(shù)和來(lái)自低位的進(jìn)位3個(gè)數(shù)相加,實(shí)現(xiàn)這種運(yùn)算電路即是全加器.設(shè)a是加數(shù),b是
5、被加數(shù),ci是來(lái)自低位的進(jìn)位,s是本位的和,co是向高位的進(jìn)位.根據(jù)二進(jìn)制數(shù)加法運(yùn)算規(guī)則和要實(shí)現(xiàn)的邏輯功能,得出一位全加器真值表,全加器除了兩個(gè)1位二進(jìn)制數(shù)相加以外,還與低位向本位的進(jìn)位數(shù)相加.表為全加器的真值表。ai bici-1 ci s0000000101010010111010001101101101011111圖1. 全加器f_adder電路圖及其實(shí)體模塊由真值表可得出邏輯函數(shù)式 式中,ai和bi是兩個(gè)相加的1為二進(jìn)制數(shù),ci-1是由相鄰低位送來(lái)的進(jìn)位數(shù),si是本位的全加和,ci是向相鄰高位送出的進(jìn)位數(shù)。由數(shù)字電路知識(shí)可知,一位全加器可由兩個(gè)一位半加器與一個(gè)或門構(gòu)成,其原理圖如圖所示
6、。該設(shè)計(jì)利用層次結(jié)構(gòu)描述法,首先設(shè)計(jì)半加器電路,將其打包為半加器模塊;然后在頂層調(diào)用半加器模塊組成全加器電路;最后將全加器電路編譯下載到實(shí)驗(yàn)箱,其中a,b,cin信號(hào)可采用實(shí)驗(yàn)箱上鍵1、鍵2和鍵3進(jìn)行輸入,s,co信號(hào)采用d1與d2發(fā)光二極管來(lái)顯示。一位全加器將a1、b1和進(jìn)位輸入cin作為輸入,計(jì)算得到和s1以及最高位的進(jìn)位輸出cout。每一位得到的和與進(jìn)位輸出都直接受其上一位的影響,其進(jìn)位輸出也會(huì)影響下一位。最終,整個(gè)全加器的和與輸出都受進(jìn)位輸入cin的影響。圖2. 半加器h_adder電路圖及其真值表 二. 方案論證利用vhdl語(yǔ)言描述的一位全加器,借助于eda工具中的綜合器、適配器、時(shí)
7、序仿真器和編程器等工具進(jìn)行相應(yīng)的處理,最后以fpga實(shí)現(xiàn)。相對(duì)于用74ls138,74ls153芯片,用門電路或基于混沌映射的全加器實(shí)現(xiàn)方法,其具有靈活的邏輯結(jié)構(gòu),能實(shí)現(xiàn)各種復(fù)雜的邏輯功能,有較好的穩(wěn)定性,充分體現(xiàn)了模塊設(shè)計(jì)的要求等優(yōu)點(diǎn)。這種方法的原理框圖如圖所示,它由以下兩個(gè)模塊組成,分別為半加器、或門,最后通過(guò)頂層元件例化將兩部分連接起來(lái)實(shí)現(xiàn)全加器的功能。現(xiàn)代eda技術(shù)的基本特征是采用高級(jí)語(yǔ)言描述,具有系統(tǒng)級(jí)仿真和綜合能力。而vhdl語(yǔ)言有強(qiáng)大的行為描述能力和多層次的仿真模擬,程序結(jié)構(gòu)規(guī)范,設(shè)計(jì)效率較高,同時(shí)具有支持自頂向下(top to down)的設(shè)計(jì)特點(diǎn),在頂層進(jìn)行系統(tǒng)的結(jié)構(gòu)設(shè)計(jì),在
8、方框圖一級(jí)用vhdl對(duì)電路的行為進(jìn)行描述,并仿真和糾錯(cuò),然后在系統(tǒng)一級(jí)進(jìn)行驗(yàn)證,最后用邏輯綜合優(yōu)化工具生成具體的門級(jí)邏輯電路網(wǎng)表,下載到具體的cpld器件中去。由于vhdl有良好的電路行為描述和系統(tǒng)描述能力,利用vhdl語(yǔ)言和cpld器件設(shè)計(jì)全加器避免了硬件電路復(fù)雜,體積體積龐大,設(shè)計(jì)死板,性能差的缺點(diǎn)。原理框圖三各功能模塊的實(shí)現(xiàn)及功能仿真1.半加器的vhdl描述和其仿真結(jié)果。library ieee; use ieee.std_logic_1164.all; entity h_adder is port (a, b : in std_logic; co, so : out std_logic
9、); end entity h_adder; architecture fh1 of h_adder is begin so = not(a xor (not b) ; co = a and b ; end architecture fh1; 生成的元器件 abcosoh_adderinst1半加器的功能仿真結(jié)果:由仿真結(jié)果可知,仿真波形與設(shè)計(jì)要求相一致,半加器在將2個(gè)多位二進(jìn)制數(shù)相加時(shí) ,不考慮來(lái)自低位的進(jìn)位,即只將2個(gè)對(duì)應(yīng)位的加數(shù)相加。2或門的vhdl描述和其仿真結(jié)果library ieee ; use ieee.std_logic_1164.all; entity or2a is por
10、t (a, b :in std_logic; c : out std_logic ); end or2a; architecture one of or2a is begin c ain,b=bin,co=d,so=e); u2 : h_adder port map(a=e,b=cin,co=f,so=sum); u3 : or2a port map(a=d, b=f,c=cout); end architecture fd1; library ieee; use ieee.std_logic_1164.all; entity h_adder is port (a, b : in std_lo
11、gic; co, so : out std_logic); end entity h_adder; architecture fh1 of h_adder is begin so = not(a xor (not b) ; co = a and b ; end architecture fh1; library ieee ; use ieee.std_logic_1164.all; entity or2a is port (a, b :in std_logic; c : out std_logic ); end or2a; architecture one of or2a is begin c
12、 = a or b; end one;生成的元器件全加器頂層設(shè)計(jì)的功能仿真結(jié)果:由仿真結(jié)果可知,仿真波形與設(shè)計(jì)要求相一致,一位全加器將2個(gè)多位二進(jìn)制數(shù)相加時(shí),除了最低位以外,每位都考慮了來(lái)自低位的進(jìn)位,即將2個(gè)對(duì)應(yīng)位的加數(shù)和來(lái)自低位的進(jìn)位3個(gè)數(shù)相加.四總結(jié)通過(guò)本次課程設(shè)計(jì)對(duì)全加器的設(shè)計(jì)和實(shí)現(xiàn),使我積累并總結(jié)了一些經(jīng)驗(yàn),鍛煉了獨(dú)立工作和實(shí)際動(dòng)手能力,加深了對(duì)計(jì)算機(jī)中的全加器工作原理的認(rèn)識(shí),提高了對(duì)復(fù)雜的綜合性實(shí)踐環(huán)節(jié)分析問(wèn)題,解決問(wèn)題,概括總結(jié)的實(shí)際工作能力,對(duì)涉及全加器項(xiàng)目的開(kāi)發(fā),設(shè)計(jì)過(guò)程有了初步認(rèn)識(shí)。整個(gè)設(shè)計(jì)采用vhdl語(yǔ)言進(jìn)行描述,利用eda工具對(duì)其進(jìn)行綜合,適配,和時(shí)序仿真,最終由fpga實(shí)現(xiàn)。在選擇仿真器和綜合器類型是quartus ii 中自帶的仿真器和綜合器。 近一周的課程設(shè)計(jì),用vhdl語(yǔ)言實(shí)現(xiàn)的一位全加器基本設(shè)計(jì)完畢 ,其功能基本符合設(shè)計(jì)要求,能夠完成二進(jìn)制加法的計(jì)算。但由于時(shí)間倉(cāng)促加之本人能力有限,設(shè)計(jì)中還有很多不足之處,有待進(jìn)一步完善。通過(guò)自己的親自動(dòng)手,我真正看到了理論與實(shí)踐之間的差距,我知道,以后的學(xué)習(xí)中,要不斷完善自己的知識(shí)體系結(jié)構(gòu),注重理論與實(shí)踐的結(jié)合,學(xué)會(huì)靈活運(yùn)用所學(xué)知識(shí),達(dá)到學(xué)以致用的地步。在本次的課設(shè)中,我學(xué)到了很多東西,通過(guò)這個(gè)過(guò)程,無(wú)論在理論上還是在實(shí)踐中,我的計(jì)
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 《示兒》公開(kāi)課教案:2024年教學(xué)趨勢(shì)分析
- 深入淺出:2024年SEM入門教程解析
- 《接觸網(wǎng)施工》課件 5.1.2 避雷器安裝
- 《島》讀后感:對(duì)2024年科學(xué)的啟示
- 陀螺知識(shí)普及:2024年《陀螺》
- 人教部編版《道德與法治》二年級(jí)上冊(cè)第9課《這些是大家的》精美課件(第1課時(shí))
- 第二屆全國(guó)技能大賽珠寶加工項(xiàng)目江蘇省選拔賽技術(shù)工作文件
- 第47屆世界技能大賽車身修理項(xiàng)目江蘇省選拔賽(初稿)
- 2022年衢州市職業(yè)技能大賽中式烹調(diào)師項(xiàng)目技術(shù)文件
- 2024海濱小城校園安全講座
- 房地產(chǎn)客戶信息登記表
- 課程設(shè)計(jì)——夾套反應(yīng)釜
- 調(diào)節(jié)池施工方案范文
- 專項(xiàng)施工方案編制依據(jù)
- 正比例函數(shù)的圖象與性質(zhì)說(shuō)課稿
- 施工單位履約后評(píng)價(jià)報(bào)告(共2頁(yè))
- 《生活中的度量衡》PPT課件.ppt
- 趣味數(shù)學(xué)推理小故事PPT精品文檔
- Excel支票打印模板2021
- 《危險(xiǎn)游戲莫玩?!稰PT課件.ppt
- 自-銑削用量進(jìn)給量進(jìn)給速度(精編版)
評(píng)論
0/150
提交評(píng)論