超聲波測距系統(tǒng)_第1頁
超聲波測距系統(tǒng)_第2頁
超聲波測距系統(tǒng)_第3頁
超聲波測距系統(tǒng)_第4頁
超聲波測距系統(tǒng)_第5頁
已閱讀5頁,還剩31頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、湖北省高等教育自學考試畢業(yè)論文論文題目:超聲波測距系統(tǒng)的設計主考院校:武 漢 大 學專 業(yè):電 子 工 程指導老師:張 錚 老 師 考生姓名:胡 林 剛 準考證號:013511210450 2013 年 03 月 18 日 i 摘摘 要要 論文的內(nèi)容是基于 at89c51 單片機超聲波測距系統(tǒng)的設計,主要是利用超 聲波的特點和優(yōu)勢,將超聲波測距系統(tǒng)和 at89c51 單片機結(jié)合于一體。該系統(tǒng)采用軟、硬件結(jié)合的方法,具有模塊化和多用化的特點。論文概述了超聲波測距的發(fā)展及基本原理,對于系統(tǒng)的一些主要參數(shù)進行了討論,并且在介紹超聲波測距系統(tǒng)功能的基礎上,提出了系統(tǒng)的總體構成。并對系統(tǒng)各個設計單元的原

2、理進行了介紹。對組成各系統(tǒng)電路的芯片進行了介紹,并闡述了它們的工作原理。論文介紹了系統(tǒng)的軟件結(jié)構,通過編程來實現(xiàn)系統(tǒng)功能。該系統(tǒng)設計主要由主控制器模塊、超聲波發(fā)射模塊設計、超聲波接收模塊、報警模塊和顯示模塊等五個模塊構成。這套系統(tǒng)抗干擾能力強、實時性良好,經(jīng)過系統(tǒng)擴展和升級,可以廣泛應用于工業(yè)生產(chǎn)、醫(yī)學檢查、日常生活、無人駕駛汽車、自動作業(yè)現(xiàn)場的自動引導小車、機器人、液位計等。關鍵字:關鍵字: 單片機、超聲波、傳感器、led、測距0目錄摘要摘要 .i第第 1 1 章章:緒論:緒論 .21.1 選題背景.21.2 設計意義.2 1.3 超聲波測距系統(tǒng)在我國的發(fā)展.3第第 2 2 章章 系統(tǒng)構成及

3、工作原理系統(tǒng)構成及工作原理 .42.1 超聲波簡介與應用 .42.2 系統(tǒng)的設計思路 .4 2.3 系統(tǒng)的工作原理.5第第 3 3 章章 系統(tǒng)硬件電路設計系統(tǒng)硬件電路設計 .7 3.13.1 單片機的選擇.73.2 超聲波測距發(fā)射電路的設計 .9 3.2.13.2.1 超聲波電路的設計 .9 3.2.2 反相器 74ls04 簡介 .10 3.3 超聲波測距接收電路的設計 .113.4 顯示模塊的設計.13 3.4.1 led 數(shù)碼管簡介.143.5 報警模塊的設計.143.6 時鐘電路的設計.163.7 復位電路的設計.17第第 4 4 章章 軟件系統(tǒng)的設計軟件系統(tǒng)的設計.174.1 軟件設

4、計分析.17 4.2 系統(tǒng)軟件設計.184.2.1 主程序.184.2.2 超聲波產(chǎn)生子程序 .19第五章:第五章:結(jié)論 .21參考文獻.21致謝 .22附錄一 總電路圖 .23附錄二 程序.241第一章第一章 緒論緒論1.11.1 選題背景選題背景 隨著科技發(fā)展的不斷進步,自動測量技術不斷更新,非接觸式測量技術也有了長足的發(fā)展。在很多工控場合,測量的物體是不能夠直接接觸到的,或者是測量物體不宜直接接觸, 這個時候就要用到非接觸式的測量儀器。自物理學上發(fā)現(xiàn)了壓電效應與反壓電效應之后,人們解決了利用電子學技術產(chǎn)生超聲波的辦法,從此超聲波技術得到廣泛運用。而在超聲波測量領域,尤其是在測距領域,結(jié)合

5、各種其他技術的應用,超聲波測量變得十分普及。 超聲波可用于非接觸測量,具有超聲波對色彩、光照度、外界光線和電磁場不敏感,不受光、電磁波以及粉塵等外界因素的干擾的優(yōu)點,是利用計算超聲波在被測物體和超聲波探頭之間的傳輸來測量距離的,對被測目標無損害。而且超聲波傳播速度在相當大范圍內(nèi)與頻率無關。超聲波的這些獨特優(yōu)點越來越受到人們的重視。 超聲波測距方法相對于其他的測距方法有自己獨特的優(yōu)點。(1) 相對于聲波,超聲波具有定向性好、能量集中、在傳輸過程中的衰減較小、反射能力較強等優(yōu)勢。(2) 相對于光學方法,超聲波的波速小,可以直接測量較近目標的距離,縱向分辨率較高;對色彩、光照度、電磁場不敏感,被測物

6、體處于黑暗,有灰塵,煙霧,電磁干擾,有毒等惡劣的環(huán)境有一定的適應能力。特別是在海洋勘測方面具有獨特的優(yōu)點。(3) 超聲波傳感器結(jié)構簡單,體積小,費用低,信息處理簡單可靠,易于小型化與集成化。隨著科學技術的快速發(fā)展,超聲波的應用將越來越廣。但就目前技術水平來說,人們可以具體利用的超聲波技術還十分有限,因此,這是一個正在蓬勃發(fā)展而又有無限前景的技術及產(chǎn)業(yè)領域。1.21.2 選題意義選題意義距離在很多場合和控制中需要實時檢測,所以,測距就成為數(shù)據(jù)采集中重要的一環(huán)。盡管測距有多種方式,比如:激光測距、微波測距、紅外線測距和超聲波測距等。但是超聲波測距不失為一種簡單可行的方法。雖然超聲波測距電路多種多樣

7、,可是有的電路復雜、技術難度大,有的調(diào)試困難,有的元件不易購買,這就在一些方面限制了超聲波測距系統(tǒng)的應用。本設計的電路,成本低廉、性能可靠、所用元件易購,結(jié)合單片機的數(shù)據(jù)處理,電路實現(xiàn)容易,工作穩(wěn)定可靠。由于超聲波指向性強,能量消耗緩慢,在介質(zhì)中傳播的距離較遠,因而超2聲波經(jīng)常用于距離的測量,如測距儀和物位測量儀等都可以通過超聲波來實現(xiàn)。利用超聲波檢測往往比較迅速、方便、計算簡單。超聲波智能測距儀具有廣泛的實際用途,超聲波測距儀廣泛應用于生活、軍事等各個領域,如施工建筑單位對空間距離的測量、汽車倒車防撞系統(tǒng)、潛水艇的超聲波探測定位系統(tǒng)。超聲波測距技術在社會生活中已有廣泛的應用,如汽車倒車雷達等

8、,它們測距精度一般較低。目前對超聲波高精度測距系統(tǒng)的需求越來越大。展望未來,超聲波作為一種新型的非常重要有用的工具在各方面都將有很大的發(fā)展空間,它將朝著更高精度,更大應用范圍,更加高定位高精度的方向發(fā)展,以滿足日益發(fā)展的社會需求。1.3.23.2 超聲波測距系統(tǒng)在我國的發(fā)展超聲波測距系統(tǒng)在我國的發(fā)展超聲波測距技術作為檢測技術的重要手段之一,在其發(fā)展過程中起著重要的作用。由于其信號的高頻特性,超聲測距早期僅使用模擬量信號的分析,大部分檢測設備僅有 a 掃描形式,需要通過有經(jīng)驗的人員對信號進行人工分析才能得出正確的結(jié)論,對分析人員的要求較高,因此,人為因素對檢測的結(jié)果影響較大,波形也不易記錄和保存

9、,不適宜完成自動化檢測。八十年代后期,由于計算機技術和高速器件的不斷發(fā)展,使超聲波信號的數(shù)字化采集和分析成為可能。目前國內(nèi)也相繼出現(xiàn)了各類數(shù)字化超聲波測距設備,并已成為超聲波檢測的發(fā)展方向。廈門大學的某位學者研究了一種回波輪廓分析法。該方法在測距中通過兩次探測求取回波包絡曲線來得到回波的起點,通過這樣處理后超聲波傳播時間的精度得到了很大的提高。另外,也有大量的文獻研究采用數(shù)字信號處理技術和小波變換理論來提高傳輸時間的精度。這些處理方法都取得了較好的效果。目前國內(nèi)外在超聲波檢測領域都向著數(shù)字化方向發(fā)展,數(shù)字式超聲波測距系統(tǒng)的發(fā)展速度很快。國內(nèi)近幾年也相繼出現(xiàn)了許多數(shù)字式超聲波儀器和分析系統(tǒng)。隨著

10、測距技術研究的不斷深入,對超聲測距系統(tǒng)功能要求越來越高,單數(shù)碼顯示的超聲測距系統(tǒng)會帶來較大的測試誤差。進一步要求以后生產(chǎn)的超聲測距儀能夠具有雙顯及內(nèi)帶有單板機的微處理功能。隨后具有檢測,記錄,存儲,數(shù)據(jù)處理與分析等多項功能的智能化檢測分析儀相繼研制成功。超聲儀研制呈現(xiàn)一派繁榮景象。其中,煤炭科學研究院研制的 2000a 型超聲分析檢測儀,是一種內(nèi)帶微處理器的智能化測量儀器,全部操作都處于微處理器的控制管理之下,所有測量值,處理結(jié)果,狀態(tài)信息都在顯像管上顯示出來,并可接微型打印機打印。其數(shù)字和波形都比較清晰穩(wěn)定,操作簡單,可靠性高,具有斷電存儲功能,其串口可以方便用戶對儀器的測試數(shù)據(jù)進行后處理及

11、有關程序的開發(fā)。與國內(nèi)同類產(chǎn)品相比,設計新穎合理,功能齊全,在儀器設計上有重大突破和創(chuàng)新,達到了國際先進水平。3第二章 系統(tǒng)構成及工作原理2.1 超聲波簡介與應用聲波是物體機械振動狀態(tài)(或能量)的傳播形式。所謂振動是指物質(zhì)的質(zhì)點在其平衡位置附近進行的往返運動。譬如,鼓面經(jīng)敲擊后,它就上下振動,這種振動狀態(tài)通過空氣媒質(zhì)向四面八方傳播,這便是聲波。 超聲波是指振動頻率大于 20000hz 以上的,其每秒的振動次數(shù)(頻率)甚高,超出了人耳聽覺的上限(20000hz) ,人們將這種聽不見的聲波叫做超聲波。超聲和可聞聲本質(zhì)上是一致的,它們的共同點都是一種機械振動,通常以縱波的方式在彈性介質(zhì)內(nèi)會傳播,是一

12、種能量的傳播形式,其不同點是超聲頻率高,波長短,在一定距離內(nèi)沿直線傳播具有良好的束射性和方向性。由于超聲波具有如下特性:超聲波可在氣體、液體、固體、固熔體等介質(zhì)中有效傳播;超聲波可傳遞很強的能量;超聲波會產(chǎn)生反射、干涉、疊加和共振現(xiàn)象;超聲波在液體介質(zhì)中傳播時,可在界面上產(chǎn)生強烈的沖擊和空化現(xiàn)象。超聲效應已廣泛用于實際,主要有如下幾方面:1 超聲檢驗。超聲波探傷、測厚、測距、遙控和超聲成像技術,超聲測量。2 超聲處理。超聲焊接、鉆孔、固體的粉碎、乳化、脫氣、除塵、去鍋垢、清洗、滅菌、促進化學反應和進行生物學研究等。3 基礎研究。超聲波作用于介質(zhì)后,在介質(zhì)中產(chǎn)生聲弛豫過程,聲弛豫過程伴隨著能量在

13、分子各自電度間的輸運過程,并在宏觀上表現(xiàn)出對聲波的吸收(見聲波) 。通過物質(zhì)對超聲的吸收規(guī)律可探索物質(zhì)的特性和結(jié)構,這方面的研究構成了分子聲學這一聲學分支。2.2 系統(tǒng)的設計思路超聲波測距系統(tǒng)包括超聲波的發(fā)射與接收系統(tǒng)、報警系統(tǒng)和顯示系統(tǒng)。其結(jié)構框圖如圖 2-1 所示:4超聲波接收單片機控制器led 顯示掃描驅(qū)動報警裝置超聲波發(fā)射 圖 2-1 超聲波測距系統(tǒng)的結(jié)構框圖超聲波測距系統(tǒng)能夠在必要的時候(例如:汽車倒車)通過單片機控制發(fā)射電路發(fā)射超聲波,超聲波向前傳播。當超聲波遇到障礙物時會反射回來,由接收電路接收。接收電路會把信號傳送到單片機中,由單片機進行相關的數(shù)據(jù)處理。所得到的結(jié)果會通過 le

14、d 數(shù)碼管顯示出來。如果距離小于一個特定的值,單片機會發(fā)出指令讓報警裝置發(fā)出相應的警報聲。在此過程中,如果發(fā)射裝置與障礙物之間有相對運動,那么 led 數(shù)碼管會不斷地顯示兩者之間最新的距離。而單片機會對距離的變化情況發(fā)出不同的指令。如果兩者的距離超出一定的范圍(本次設計是四米) ,就不再會進行報警。但是如果兩者之間的距離不斷縮小,那么報警的聲音就會發(fā)生變化,以便能夠給人們提示。本系統(tǒng)的設計主要分為系統(tǒng)硬件電路的設計和系統(tǒng)軟件程序的設計兩部分。系統(tǒng)硬件電路部分由單片機最小系統(tǒng)模塊、顯示模塊、語音報警模塊、時鐘模塊、復位模塊組成。單片機為系統(tǒng)主控芯片,超聲波傳感器作為測量器件,通過單片機進行程序處

15、理,最后通過顯示模塊顯示出測量的距離值并進行報警。2.32.3 系統(tǒng)的工作原理系統(tǒng)的工作原理超聲波發(fā)射器向某一方向發(fā)射超聲波,在發(fā)射的同時開始計時,超聲波向前傳播,途中碰到障礙物就立即返回來,超聲波接收器收到反射波就立即停止計時。假設超聲波在空氣中的傳播速度為 v,根據(jù)計時器記錄的時間 t,發(fā)射點距障礙物的距離 h,如圖 2-3 所示:5圖 2-3 超聲波測距原理圖2-3中被測距離為h,兩探頭中心距離的一半用m表示,超聲波單程所走過的距離用l表示,由圖中關系可得 : h= lcos (1)=arctan( m/h ) (2)將式( 2) 代入式( 1) 得:h =l cosarctan(m/h

16、 ) (3)在整個傳播過程中, 超聲波所走過的距離為:2l = vt (4)上式中: v 為超聲波的傳播速度;t為傳播時間,即為超聲波從發(fā)射到接收的時間。將式(4) 代入式(3) 可得: h = 0.5vt cos arctan(m/h ) (5) 當被測距離h 遠遠大于m 時, 于是式( 5) 變?yōu)?h = 0.5vt (6) 這就是所謂的時間差測距法。首先測出超聲波從發(fā)射到遇到障礙物返回所經(jīng)歷的時間,再乘以超聲波的速度就得到二倍的聲源與障礙物之間的距離。6第三章 系統(tǒng)硬件電路設計3.1 單片機的選擇 在系統(tǒng)的設計中,選擇合適的系統(tǒng)核心器件就成為能否成功完成設計任務的關鍵,而作為控制系統(tǒng)核心

17、的單片機的選擇更是重中之重。選擇單片機需要考慮以下幾個方面:單片機的基本性能參數(shù)。例如指令執(zhí)行速度、程序存儲器容量、i/o 引腳數(shù)量等。單片機的存儲介質(zhì)。對于程序存儲器來說,flash 存儲器和 otp(一次性可編程)存儲器相比較,最好是 flash 存儲器。芯片的封裝形式。如 dip(雙列直插)封裝,plcc(plcc 有對應插座)封裝及表面貼附等。芯片的功耗。比如設計并口加密狗時,信號線取電只能提供幾 ma 的電流,選用 at 單片機就是因為它能滿足低功耗的要求。供貨渠道是否暢通、價格是否低廉。芯片保密性能好、單片機的抗干擾性能好。at89c51 在指令系統(tǒng)、硬件結(jié)構和片內(nèi)資源上與標準 8

18、051 單片機完全兼容,dip40 封裝系列與 8051 為 pin-to-pin 兼容。at89 系列單片機高速(最高時鐘頻率 90mhz),低功耗,不占用戶資源。根據(jù)本系統(tǒng)的實際情況,選擇 at89c51單片機。i/o 端口的編程實際上就是根據(jù)應用電路的具體功能和要求對 i/o 寄存器進行編程。具體步驟如下:(1) 根據(jù)實際電路的要求,選擇要使用哪些 i/o 端口,用 equ 偽指令定義其相應的寄存器;(2) 初始化端口的數(shù)據(jù)輸出寄存器,應避免端口作為輸出時的開始階段出現(xiàn)不確定狀態(tài),影響外圍電路正常工作;(3) 根據(jù)外圍電路功能,確定 i/o 端口的方向,初始化端口的數(shù)據(jù)方向寄存器。對于用

19、作輸入的端口可以不考慮方向初始化,因為 i/o 的復位缺省值為輸入;(4) 用作輸入的 i/o 管腳,如需上拉,再通過輸入上拉使能寄存器為其內(nèi)部配置上拉電阻;(5) 最后對 i/o 端口進行輸出(寫數(shù)據(jù)輸出寄存器)和輸入(讀端口)編程,完成對外圍電路的相應功能。7圖 3-1 at89c51 的引腳圖圖 3-2 at89c51 單片機芯片外觀圖根據(jù)系統(tǒng)設計要求,各接口功能如下:p1.0: 產(chǎn)生輸出一個 40khz 的脈沖信號。p1.1: 產(chǎn)生輸出一個 40khz 的脈沖信號。p1.2: 產(chǎn)生輸出一個 40khz 的脈沖信號。int0: 產(chǎn)生中斷請求,接前方測距電路。int1: 產(chǎn)生中斷請求,接前

20、方測距電路。p1.3: 接 ica3 輸入端,用于中斷優(yōu)先級的判斷。p1.4: 接 ica3 輸入端,用于中斷優(yōu)先級的判斷。p0.0: 用于顯示輸出,接顯示器。p0.1: 用于顯示輸出,接顯示器。8p0.2: 用于顯示輸出,接顯示器。p0.3: 用于顯示輸出,接顯示器。p0.4: 用于顯示輸出,接顯示器。p0.5: 用于顯示輸出,接顯示器。p0.6: 用于顯示輸出,接顯示器。p0.7: 用于顯示輸出,接顯示器。p2.7: 接報警電路。 p2.0: 接報警電路。p2.1: 接報警電路。xtal1:接外部晶振的一個引腳。在單片機內(nèi)部,它是一反相放大器輸入端,這個放大器構成了片內(nèi)振蕩器。它采用外部振

21、蕩器時,引腳應接地。xtal2:接外部晶振的一個引腳。在片內(nèi)接至振蕩器的反相放大器輸出端和內(nèi)部時鐘發(fā)生器輸入端。當采用外部振蕩器時,則此引腳接外部振蕩信號的輸入。rst:at89c51 的復位信號輸入引腳,高電位工作,當要對芯片要復位時,只要將此引腳電位提升到高電位,并持續(xù)兩個機器周期以上的時間,at89c51 便能完成系統(tǒng)復位的各項工作,使得內(nèi)部特殊功能寄存器的內(nèi)容均被設成已知狀態(tài)。3.3.2 2 超聲波測距發(fā)射電路的設計超聲波測距發(fā)射電路的設計 發(fā)射電路主要由反向器 74ls04 和超聲波發(fā)生器 t 構成,單片機 p2.5 端口輸出的 40khz 的方波信號一路經(jīng)一級反向器后送到超聲波發(fā)生

22、器的一個電極,另一路經(jīng)兩級反向器送到超聲波的另一個電極。用這種推挽形式將方波信號加到超聲波發(fā)生器兩端,可以提高超聲波的發(fā)射強度。電路設計如圖 3-3 所示。91a11y22a32y43a53y6gnd74y84a95y105a116y126a13vcc14u4b74ls041kr21kr1t1vccintd12reset9p101p112p123p134p145p156p167into13intd12t014t115eavpp31x119x218reset9wr16rd17p0732p0633p0534p0435p0336p0237p0138p178p0039p2021p2122p2223p2

23、324p2425p2526p2627p2728psen29alep30rxd10txd11u5at89c51vccvcc 圖 3.33.2 反相器 74ls04 簡介反向器 74ls04 是 6 非門,其工作電壓為 5v,他的內(nèi)部含有 6 個 cmos 反相器,74ls04 的作用就是反相把 1 變成 0。下表 3-1 是其工作范圍的分布情況,圖 3-4 為引腳圖介紹。表 3-1 執(zhí)行工作的極限值符號參量最小值最大值單位vcc電源電壓4.755.25vta工作溫度范圍075cioh最大輸出電流8maiol最小輸出電流-0.4ma圖 3-4 74ls04 引腳圖103.3 超聲波測距接收電路的設

24、計超聲波探頭必須采用與發(fā)射探頭對應的型號,關鍵是頻率要一致,本設計采用 tct4016t/r,否則將因無法產(chǎn)生共振而影響接收效果,甚至無法接收。由于經(jīng)探頭變換后的正弦波電信號非常弱,因此必須經(jīng)放大電路放大。正弦波信號不能直接被單片機接收,必須進行波形變換。按照上面所討論的,單片機需要的只是第一個回波的時刻。接收電路的設計采用 cx20106a,這是一款紅外線檢波接收的專用芯片??紤]到紅外遙控常用的載波頻率 38khz 與測距超聲波頻率 40khz 較為接近,可以利用它作為超聲波發(fā)射電路。下面對紅外遙控接收器集成電路 cx20106a 做一個簡要的介紹。cx20106a 是日本索尼公司生產(chǎn)的彩電

25、專用紅外遙控接收器,采用單列 8 腳直插式,超小型封裝。cx20106a 的基本性能如下:(1)電源電壓典型值 5v,最大 17v。(2)電源電流 1.12.5ma(典型值為 1.8ma)。(3)輸出低電平0.2v。(4)電壓增益 7779db。(5)輸入阻抗為 27k。(6)濾波器中心頻率f0 為 3060khz。其內(nèi)部結(jié)構如下圖 3-5 所示,各引腳功能如下表 3-2 所示。 檢波器及 前置放大 限幅放大 寬頻帶濾波器 比較器 整形 滯后比較器 + in c1 c2 gnd fo c3 out vcc18374652ablg紅外信號輸入端增益調(diào)節(jié)端檢測端地帶通濾波器調(diào)整端積分端信號輸出端電

26、源端圖 3-5 cx20106a 內(nèi)部結(jié)構圖表 3-2 cx20106a 引腳功能11引腳名稱功能1in信號輸入端2c1rc 網(wǎng)絡連接端,該端與地串接一 rc 網(wǎng)絡,以確定前置放大器的頻率特性與增益。r 阻值大,c 容量小,增益低;反之則高但 c 不宜過大,否則瞬態(tài)響應速度會降低。3c2檢波電容連接端,該端與地接檢波電容,電容量大,則為平均值檢波,瞬態(tài)響應靈敏度低;電容值小,則為峰值檢波,瞬態(tài)響應靈敏度高,但檢波輸出的脈寬變動大。4gnd接地端5f0帶通濾波器中心頻率設置端,通過該腳與電源正端接一電阻 r 來確定 f0,當 r=200 千歐時,中心頻率 f0=40khz;當 r=220 千歐時

27、,中心頻率 f0=38khz。6c3積分電容連接端,該腳所接積分電容標準值為 330pf,當電容值增大時,則外部濾波干擾增強,而且輸出脈沖的低電平持續(xù)時間增加。7out信號輸出端,該端口為集電極開路輸出,當該腳與電源正端接一22 千歐的電阻時,輸出脈沖低電平的標準值約為 0.2v8vdd電源正端,接+5vcx20106a 的內(nèi)部主要包括前置放大器,限幅放大,帶通濾波,峰值檢波,積分濾波及波形整形電路等?;驹砣缦拢航邮論Q能器把超聲波回波轉(zhuǎn)換為相應頻率的數(shù)字編碼脈沖調(diào)幅波,并由 1 腳進入集成放大器的正相輸入端。2腳是放大器的反相輸入端,外接 rc 負反饋網(wǎng)絡,可以決定和調(diào)節(jié)放大器的頻率特性和

28、電壓增益,當電阻值小或者電容值大時,電壓增益高,通頻帶窄;反之,電壓增益低,通頻帶寬。在放大器輸入端設置有 ablc 電路(即自動偏壓電路或者自動電平控制電路,它可使放大及限幅電路輸出電平穩(wěn)定的編碼信號),可自動調(diào)整放大器的偏置電壓,使放大器的輸出電平穩(wěn)定。然后,信號進入限幅放大器,可以濾除雜亂的寄生調(diào)幅和其他干擾,輸出包絡脈沖頂部平直的編碼脈沖調(diào)幅波。信號再進入帶通濾波器,濾除頻率范圍 30-50khz 以外的干擾信號。5 腳外接電阻。調(diào)節(jié)其阻值可調(diào)節(jié)帶通濾波器的中心頻率值。然后信號進入峰值檢波器,對編碼脈沖的調(diào)幅波進行振幅檢波,解調(diào)出數(shù)字編碼脈沖信號,3 腳外接電容是峰值檢波器的濾波電容。

29、檢波出的信號再送到整形電路中進行波形轉(zhuǎn)換與整形,最后由 7 腳輸出數(shù)字編碼脈沖信號,送至 cpu 去識別,處理。6 腳外接積分電容,可以濾除已調(diào)波的載波頻率分量。而由檢波器輸出的數(shù)據(jù)編碼信號,cpu 不能識別,故在檢波器后設置由積分電路和磁滯回線型比較器組成的整形電路,整形電路是一種波形變換電路,它可將檢波器輸出的12寬度編碼脈沖整形變換為 cpu 所能識別的數(shù)字信號。而實用的波形整形電路是積分電路和施密特比較器組成的電路。接收電路如圖 3-6 所示,tx3傳傳傳t2傳傳傳傳傳傳傳傳1kr31kr45100pfc512345678cx2016a100pfc81kr5vcc1+tx4傳傳傳tx1

30、傳傳傳tx2傳傳傳tx5傳傳傳1100pfc6100pfc7圖 3-6 超聲波接收電路圖3.4 顯示模塊方案的設計 顯示模塊的設計可采用 12mhz 高精度的晶振,以獲得較穩(wěn)定的時鐘頻率,減小測量誤差。單片機用 p1.0 端口輸出超聲波所需的 40khz 方波信號,利用外中斷 0 口檢測超聲波接受電路輸出的返回信號。顯示電路采用簡單實用的共陽 led 數(shù)碼管,位碼用 pnp 三極管驅(qū)動。本設計的顯示電路原理圖如下圖 3-7所示。13圖 3-7 顯示電路的設計3.4.3 led 數(shù)碼管簡介led 數(shù)碼管實際上是由七個發(fā)光管組成 8 字形構成的,加上小數(shù)點就是 8個。這些段分別由字母 a,b,c,

31、d,e,f,g,dp 來表示。當數(shù)碼管特定的段加上電壓后,這些特定的段就會發(fā)亮,以形成我們眼睛看到的字樣了。如:顯示一個“2”字,那么應當是 a 亮 b 亮 g 亮 e 亮 d 亮 f 不亮 c 不亮 dp 不亮。led 數(shù)碼管有一般亮和超亮等不同之分,也有 0.5 寸、1 寸等不同的尺寸。小尺寸數(shù)碼管的顯示筆畫常用一個發(fā)光二極管組成,而大尺寸的數(shù)碼管由二個或多個發(fā)光二極管組成,一般情況下,單個發(fā)光二極管的管壓降為 1.8v 左右,電流不超過 30ma。發(fā)光二極管的陽極連接到一起連接到電源正極的稱為共陽數(shù)碼管,發(fā)光二極管的陰極連接到一起連接到電源負極的稱為共陰數(shù)碼管。常用 led 數(shù)碼管顯示的

32、數(shù)字和字符是0、1、2、3、4、5、6、7、8、9。led 結(jié)構圖如圖 3-8 所示。3-8 led 結(jié)構圖3.5 報警模塊的設計隨著科技的發(fā)展和產(chǎn)品的集成化,語音芯片已經(jīng)逐漸替代了多種語音設備應用各場合。語音芯片主要特性是功耗低,抗干擾能力強,外圍器件少,控制簡單,語音保存時間久(某些語音芯片可以保存內(nèi)容100 年) ,掉電不丟失語音,部分芯片還可以重復擦寫語音內(nèi)容。如汽車倒車雷達,公交車報站器,銀行排隊機、語音玩具、防盜系統(tǒng)等設備都裝備了語音芯片。語音芯片從使用功能上,基本可以劃分為錄音語音芯片和放音語音芯片。通常帶有錄音功能的語音芯片都具有回放語音的功能,所以我們選用isd1110 芯片

33、,如圖 3-9 所示。14 圖 3-9 isd1110 結(jié)構圖圖 3-10 isd1110 引腳圖它是有 28 條引腳的雙列直插式芯片。各條引腳的功能含義說明如下. a0-a7:引腳 1-6,9,10,地址輸入端或控制命令輸入端。a7,a6 同時為高電平時,a4-a0 為控制命令;否則,a7-a0 為地址。 dgnd:引腳 12,數(shù)字信號地線。 agnd:引腳 13,模擬信號地線。sp-,sp+:揚聲器連接端,輸出音頻信號。 v+:模擬信號電源,+5v。 mic:引腳 17,話筒輸入端。mic ref:引腳 18,話筒參考輸入端。agc:引腳 19,自動增益控制端。 ana in:引腳 20,

34、模擬信號輸入端。15ana out:引腳 21,模擬信號輸出端。 playl:引腳 23,放音控制電平觸發(fā)端。當該端為低電平時,芯片進入放音周期;當該端為高電平時,停止放音。 playe:引腳 24,放音控制脈沖觸發(fā)端。該輸入端由高變低時放音。recled:引腳 25,錄音顯示端。 xclk:引腳 26,時鐘端。 rec:錄音端,引腳 27。低電平為錄音狀態(tài)。vdd:引腳 28,v+聲音報警是測距系統(tǒng)探測到的距離小于所設定的安全值(此次設計是4米)時,發(fā)出聲音提醒用戶,可直接驅(qū)動蜂鳴器發(fā)聲或經(jīng)外接功放推動揚聲器放音。電路圖如圖3-11所示。470r8d1vcc51kr9ls2bellq1pnp

35、vccintd12reset9p101p112p123p134p145p156p167into13intd12t014t115eavpp31x119x218reset9wr16rd17p0732p0633p0534p0435p0336p0237p0138p178p0039p2021p2122p2223p2324p2425p2526p2627p2728psen29alep30rxd10txd11at89c51圖 3-11 報警模塊3.6 時鐘電路的設計時鐘電路引腳是 x1 和 x2,如圖 3-11 所示。為了產(chǎn)生時鐘信號,在at89c51 內(nèi)部設置了一個反相放大器,xtal1 是片內(nèi)振蕩器反相放

36、大器的輸入端,xtal2 是片內(nèi)振蕩器反相放大器的輸出端,也是內(nèi)部時鐘發(fā)生器的輸入端。當使用自激振蕩方式時,xtal1 和 xtal2 外接石英晶振,使內(nèi)部振蕩器按照石英晶振的頻率振蕩,就產(chǎn)生時鐘信號,本系統(tǒng)使用的石英晶振頻率為 12mhz。產(chǎn)生時鐘信號電路如圖 3-11。16 圖 3-12 時鐘電路 3.73.7 復位電路的設復位電路的設計計復位功能的引腳是rst9 腳,在振蕩器運行時,有兩個機器周期(24 個振蕩周期)以上的高電平出現(xiàn)在此引腳時,將使單片機復位,只要這個腳保持高電平,51 芯片便循環(huán)復位。復位后 p3 口均置 1 引腳表現(xiàn)為高電平,程序計數(shù)器全部清零。當復位腳由高電平變?yōu)榈?/p>

37、電平時,復位電路停止工作。如圖 3-12。圖3-13 復位電路intd12reset9p101p112p123p134p145p156p167into13intd12t014t115eavpp31x119x218reset9wr16rd17p0732p0633p0534p0435p0336p0237p0138p178p0039p2021p2122p2223p2324p2425p2526p2627p2728psen29alep30rxd10txd11at89c5130pfc230pfc112y1xtalvcc17第四章 軟件系統(tǒng)的設計4.1 軟件設計分析系統(tǒng)軟件的設計,它所需要完成的主要是針對系

38、統(tǒng)功能的實現(xiàn)及數(shù)據(jù)的處理和應用。根據(jù)以上所述系統(tǒng)硬件設計和各個電路功能,系統(tǒng)軟件需要實現(xiàn)以下功能:1、信號控制。在系統(tǒng)硬件中,已經(jīng)完成了發(fā)射電路、回波檢測接收電路的設計。在系統(tǒng)軟件中,要完成發(fā)射脈沖信號及輸出顯示。2、數(shù)據(jù)存儲。為了得到發(fā)射信號與接收回波間的時間差,要讀出此刻計數(shù)器的計數(shù)值,然后存儲在ram中,而且每次發(fā)射周期的開始,需要對計數(shù)器清零,以備后續(xù)處理。3、信號處理。ram中存儲的計數(shù)值并不能作為距離值直接顯示輸出,超聲波從發(fā)射出去碰到障礙物返回接收傳感器的時間,需要通過軟件定時器來記錄。根據(jù)這個時間才能計算出障礙物的距離。4、數(shù)據(jù)傳輸與顯示。經(jīng)軟件處理得到的距離要以十進制的方式送

39、led顯示。4.2 系統(tǒng)軟件設計超聲波測距軟件設計主要由主程序,超聲波發(fā)射子程序,超聲波接受中斷程序,顯示子程序以及報警程序組成。c 語言程序有利于實現(xiàn)較復雜的算法,匯編語言程序則具有較高的效率并且容易精確據(jù)算程序運行的時間,而超聲波18測距器的程序既有較復雜的計算(計算距離時),又要求精確計算程序運行時間(超聲波測距時),所以控制程序可采用 c 語言和匯編語言混合編寫。4.2.1 主程序主程序采用 c 語言編寫。主程序流程圖如圖 4-1 所示。主程序首先對系統(tǒng)環(huán)境初始化,設置定時器 t0 工作模式為 16 位的定時計數(shù)器模式,置位總中斷允許位 ea 并給顯示端口 p0 和 p2 清 0。然后

40、調(diào)用超聲波發(fā)生子程序送出一個超聲波脈沖。由于采用 12mhz 的晶振,機器周期為 1us,當主程序檢測到接收成功的標志位后,將計數(shù)器 t0 中的數(shù)(即超聲波來回所用的時間)按下式計算即可測得被測物體與測距儀之間的距離,設計時取 20c 時的聲速為 344m/s 則有:d=(c*t0)/2=172t0/10000cm(t0 為計數(shù)器 t0 的計數(shù)值)。測出距離后結(jié)果將以十進制 bcd 碼方式送往 led 顯示,然后再發(fā)超聲波脈沖重復測量過程。當數(shù)碼管顯示的數(shù)據(jù)超出設定值時,產(chǎn)生報警(總程序見附錄二)系統(tǒng)初始化發(fā)送超聲波脈沖等待反射超聲波脈沖led 顯示結(jié)果計算距離開始圖 4-1 主程序框圖4.2

41、.24.2.2 超聲波產(chǎn)生子程序超聲波產(chǎn)生子程序19yn設置計數(shù)器 t0 初值開啟計數(shù)器產(chǎn)生 40khz 方波信號是否發(fā)射完?返回開始圖 4-2 40k 赫茲超聲波產(chǎn)生程序框圖測距系統(tǒng)中所需要的工作電壓是 40khz 的脈沖信號,這是由單片機執(zhí)行下面程序來產(chǎn)生。main11_1:ajmpmain5;返回調(diào)節(jié)main12:setbtr0 ;重新開啟測距定時器mov r2,#64h;測量間隔控制(約 4*100=400ms)loop: lcalldisplay;顯示當前測得的數(shù)值 djnz r2,loop;顯示一定時間 ajmp main2;顯示完后返回測距主程序dst1:cpl vout ;定時

42、中斷 1 中斷程序,發(fā)出聲波djnz r4, retiout clr tr1 ;超聲波發(fā)送完畢,關 t1 clr et1 ;關定時 1 中斷 mov th1, #0f6h;0.1s 的定時值20前方測距電路的輸入端接單片機 p3.2 端口,單片機執(zhí)行上面的程序后,在 p3.2 端口輸出一個 40khz 的脈沖信號,經(jīng)過三極管 t 放大,發(fā)出 40khz的脈沖超聲波。第五章第五章 結(jié)論結(jié)論 本設計的優(yōu)點在于使用單片機作為主控芯片,利用與單片機、時鐘芯片、存儲芯片、液晶模塊、鍵盤、語音芯片、揚聲器等系統(tǒng)硬件配置完成系統(tǒng)功能,系統(tǒng)軟硬件設計結(jié)構緊湊,軟硬件資源得到充分利用,有效壓縮了系統(tǒng)成本,提高了

43、系統(tǒng)的性價比和穩(wěn)定性。另外,采用單片機為主控芯片設計的系統(tǒng)體積小重量輕便于安裝和放置,加上高性價比、低成本的優(yōu)勢,使得這種系統(tǒng)更易于推廣。超聲波測距系統(tǒng)可以實時監(jiān)測一定距離內(nèi)的最新動態(tài)。同時,系統(tǒng)又增加了語音報警的功能,可以使用戶在最短的時間內(nèi)作出調(diào)整,從而避免了許多不必要的麻煩。系統(tǒng)設計充分體現(xiàn)了以人為本的服務理念,通過合理分配資源提高了工作效率。由于設計時間問題,不能進行全面設計,致使系統(tǒng)存在些許缺陷。一方面沒有溫度補償系統(tǒng)。由于超聲波的速度受到溫度的的影響,所以這樣會造成測量精度不高,只能應用于精度要求較小的場合,大大影響了該系統(tǒng)的推廣。另21一方面缺乏可塑性。這次的設計只是針對了測量距

44、離在 4 米的情況,而對于更改參數(shù)則比較困難。以上的缺點不能掩蓋系統(tǒng)的優(yōu)點,在測距的問題上,此次設計的測距系統(tǒng)會是一個很好的解決方案。 參考文獻 1 吳斌方,劉民. 超聲波測距傳感器的研究m.湖北工學院學報,2004.6.2 瞿金輝,周蓉生.超聲波測距系統(tǒng)的設計m.中國儀器儀表,2007.8.3 proteus 入門實用教程m. 機械工業(yè)出版社 ,2007.09。4 李麗霞.單片機在超聲波測距中的應用.電子技術,2002 , (6).5 江思敏. altium designe(protel)原理圖與 pcb 設計教程m.機械工業(yè)出版社,2009.08.6 戴曰章.基于 at89c51 單片機的

45、超聲波測距系統(tǒng).電氣時代,2005, (7) 。7 雷輝.基于 at89c2051 的智能防撞報警器設計.電氣時代,2005, (1).8 董子和,李永輝.超聲波測距系統(tǒng)的建立及其在汽車防撞系統(tǒng)的應用,汽車電器 1997, (1) 9 李茂山.超聲波測距原理及實踐技術.實用測試技術,1994, (1)2210 雷輝.基于 at89c2051 的智能防撞報警器設計.電氣時代,2005 年第 1 期致謝本論文能得以順利完成首先要感謝我的導師,從理論研究到系統(tǒng)設計,從工具軟件的使用到系統(tǒng)技術難點的攻關,從相關知識的教學視頻到重要的專業(yè)書籍和資料,張老師都給予了我悉心的指導和幫助。老師知識淵博、治學嚴

46、謹,關心愛護學生,對科研工作一絲不茍,解答學生疑問耐心細致,這一切為我樹立了良好的榜樣,使我受益匪淺。沒有老師的悉心指導和無私的幫助我的論文是無法完成的。在此由衷的感謝老師!感謝在論文完成過程中給予我?guī)椭耐M同學以及網(wǎng)上的朋友們,他們在設計的不同時期分別給過我很大的幫助。感謝學院的老師和同學們,給我們提供了一個良好的學習環(huán)境,便于我們順利的完成畢業(yè)設計。感謝開題答辯時給予我指點的老師們,他們讓我在設計的初期對自己的設計進行了實際的、合理的定位。23感謝大學,在學校里,我不僅學習了很多文化知識,還學會了怎樣做人,做一個踏踏實實,自強奮進的人。在學校的所學,為我踏入社會打好了堅實的基礎。最后,感

47、謝在百忙之中,抽出時間來評審我論文的老師們。24附錄一:總電路圖附錄一:總電路圖25附錄二 程序tj1equ60h;tj2 equ61h;tj3 equ62h;tj4 equ63h;tj5 equ64h;a1 equ65h;a2 equ66h;a3 equ67h;a4 equ68h;vout equp2.4;bellequp1.1;org0000h ;ajmpstartorg0003h ;ajmpint0org000bh ;ajmpdst0org001bh ;ajmpdst1org002bh ;retistart:setbea ;clrtj4 ;movtmod,#21h;movth0,#00h

48、;movtl0,#00h;movth1,#0f6h ;movtl1,#0f6h ;movr4,#04h;26setbet1;setbet0;setbpx0;main1:setbtr0;main2:lcall display;jnbtj1,main2 ;clrea ;setbea ;clrtj1 ;jb p3.4,zy2;acalldelay10jb p3.4,zy2ajmpzy1zy2:ajmpmain12;zy1:clrbell;acalldelay125setbbellacalldelay1smain3:mova,tj4;cjnea,#0,main3_1acalldisplay2 ;ajm

49、pmain3_2main3_1: lcall display1 ;main3_2: jb p3.5,main4;acalldelay10jb p3.5,main4;clrbell;acalldelay125setbbellmova,tj4cjnea,#0,main3_327movtj4,#1 ;ajmpmain4main3_3: movtj4,#00hmain4:jb p3.4,main3;acalldelay10jb p3.4,main3clrbell;acalldelay125setbbellacalldelay125clrbell;jnbp3.4,$;setbbell;movtj5,#0 ;ajmpmain5main5:mova,tj5cjnea,#0,main5_1 ;acalldisplay3 ;ajmpmain6main5_1: cjnea,#1,main5_2acalldisplay4 ;ajmpmain7main5_2: c

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論