微機(jī)自動(dòng)化習(xí)題與答案(南京)_第1頁(yè)
微機(jī)自動(dòng)化習(xí)題與答案(南京)_第2頁(yè)
微機(jī)自動(dòng)化習(xí)題與答案(南京)_第3頁(yè)
微機(jī)自動(dòng)化習(xí)題與答案(南京)_第4頁(yè)
微機(jī)自動(dòng)化習(xí)題與答案(南京)_第5頁(yè)
已閱讀5頁(yè),還剩9頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第一章一、填空 :1.接口的基本功能是輸入緩沖和輸出鎖存。2.數(shù)據(jù)輸入/輸出的三種方式是程序控制、中斷、DMA 。3.在查詢輸入/輸出方式下,外設(shè)必須至少有兩個(gè)端口,一個(gè)是狀態(tài)端口,另一個(gè)是數(shù)據(jù)端口。4.如果某條數(shù)據(jù)線上傳送的數(shù)字是1,則傳送數(shù)字1時(shí)刻數(shù)據(jù)線上的電壓為高電平。5.如果某條數(shù)據(jù)線上傳送的數(shù)字是0,則傳送數(shù)字0時(shí)刻數(shù)據(jù)線上的電壓為低電平。6.DMA方式的中文意義是直接存儲(chǔ)器,它適用于存取批量高速數(shù)據(jù)傳送。7.在譯碼過程中,如果有一根地址線沒用到,會(huì)有2個(gè)重疊地址。8、接口是微機(jī)與 外部設(shè)備間的緩沖電路。二、選擇題1.8086CPU工作在總線請(qǐng)求方式時(shí),會(huì)讓出( D )。A.地址總線

2、B.數(shù)據(jù)總線C.地址和數(shù)據(jù)總線D.地址、數(shù)據(jù)和控制總線2.8086CPU的I/O地址空間為( A )字節(jié)。A.64KBB.1MB C.256BD.1024B3.CPU在執(zhí)行OUT DX,AL指令時(shí),( B )寄存器的內(nèi)容送到地址總線上。A.ALB.DX C.AXD.DL4.數(shù)據(jù)的輸入輸出指的是( B )進(jìn)行數(shù)據(jù)交換。A.CPU與存儲(chǔ)器B.CPU與外設(shè)C.存儲(chǔ)器與外設(shè)D.內(nèi)存與外存5.在給接口編址的過程中,如果有5根地址線沒有參加譯碼,則可能產(chǎn)生( C )個(gè)重疊地址。A.52B.5 C.25D.106.8086在執(zhí)行IN AL,DX指令時(shí),AL寄存器的內(nèi)容輸出到( B )上。A.地址總線 B.數(shù)

3、據(jù)總線C.存儲(chǔ)器 D.寄存器7.在中斷輸入/輸出方式下,外設(shè)的( B )線可用于向CPU發(fā)送中斷請(qǐng)求信號(hào)。A.地址B.狀態(tài) C.數(shù)據(jù)D.其他8.CPU在執(zhí)行OUT DX,AL指令時(shí),( A )寄存器的內(nèi)容送到數(shù)據(jù)總線上。A.ALB.DX C.AXD.DL9.查詢輸入/輸出方式需要外設(shè)提供( C )信號(hào),只有其有效時(shí),才能進(jìn)行數(shù)據(jù)的輸入和輸出。A.控制B.地址 C.狀態(tài)D.數(shù)據(jù)10.當(dāng)CPU執(zhí)行存儲(chǔ)器讀指令時(shí),其( A )。A.M/為高、為低 B.M/為低、為低C.M/為低、為高 D.M/為高、為高11.CPU在執(zhí)行IN AL,DX指令時(shí),其( A )。A.為低,為低 B.為高,為高A.為高,為

4、低 D.為低,為高12.信號(hào)是( A )相與的結(jié)果。A.和 B.和C.和 D.INTR和13.當(dāng)( A )時(shí),才為低電平。A.為低,為低 B.為高,為高A.為高,為低 D.為低,為高14.當(dāng)( A )時(shí),才有效。A.為低,為低 B.為高,為高C.為高,為低 D.為低,為高15.地址譯碼器的輸入端應(yīng)接在( A )總線上。A.地址 B.數(shù)據(jù)C.控制 D.以上都對(duì)16.地址譯碼器的輸出一般可為接口的( A )信號(hào)。A.片選 B.數(shù)據(jù)輸入C.地址 D.控制三、簡(jiǎn)答題:1.什么是端口?8086共有多少端口?一個(gè)I/O地址稱為一個(gè)端口;8086共有65536個(gè)端口。2.什么是接口?接口的基本功能是什么?微

5、機(jī)接口是位于主機(jī)與外設(shè)之間的一些轉(zhuǎn)換電路的總稱。接口的基本功能是輸入緩沖、輸出鎖存、信號(hào)變換等。3.接口所包含的信息有哪些?控制信息、地址信息、數(shù)據(jù)信息。4.輸入輸出指的是什么?輸入輸出指的是主機(jī)(或CPU)與外設(shè)之間的數(shù)據(jù)傳送。CPU從外設(shè)輸入數(shù)據(jù)的過程稱為輸入。CPU向外設(shè)輸出數(shù)據(jù)的過程稱為輸出。5.輸入輸出方式有哪幾種?輸入輸出方式有三種:(1)程序控制輸入輸出方式。(2)輸入中斷輸入輸出方式。(3)直接存儲(chǔ)器存取方式。6.地址譯碼器信號(hào)有什么用?區(qū)分和選通不同外設(shè)(接口)工作。7、端口與接口是否含義一樣?有何區(qū)別和聯(lián)系?8、什么是接口技術(shù)?接口技術(shù)主要運(yùn)用在那里?9.輸入緩沖的作用是什

6、么?10.輸出鎖存的作用是什么?四、編程設(shè)計(jì)題1.利用74LS138譯碼器設(shè)計(jì)一個(gè)譯碼電路使產(chǎn)生地址譯碼信號(hào)200h203h。在你設(shè)計(jì)的譯碼電路中有多少個(gè)重疊地址?圖7按照?qǐng)D7中譯碼電路,有8根地址線沒有參加譯碼,故有28=256個(gè)重疊地址。第二章一、填空 :1、總線按傳送信息的類別可分為:地址總線;數(shù)據(jù)總線;控制總線三類。2.PCI總線屬于現(xiàn)代高端總線。3.總線傳輸方式通常有三種:.同步傳輸;同步傳輸;異步傳輸。4.在總線上完成一次數(shù)據(jù)傳輸一般要經(jīng)歷.總線請(qǐng)求和仲裁階段;尋址階段;數(shù)據(jù)傳送階段;結(jié)束階段等階段。5.按總線在微機(jī)結(jié)構(gòu)中所處的位置,總線可分為片內(nèi)總線;芯片總線;系統(tǒng)總線;外部總線

7、。6.系統(tǒng)總線按其性能可分為高端總線;低端總線7.系統(tǒng)總線按其技術(shù)上的差異可分為傳統(tǒng)總線;現(xiàn)代總線。二、選擇題1.PCI總線的數(shù)據(jù)寬度為( C )位。A.8B.16 C.32D.642.ISA總線的數(shù)據(jù)寬度為( C )位。A.64B.32 C.16D.83、總線的寬度用數(shù)據(jù)總線的條數(shù)表示,其單位是( A )。A.位B.字節(jié) C.字D.雙字4總線的寬度用( B )總線的條數(shù)表示。A.地址 B.數(shù)據(jù)C.控制 D.以上所有5.總線的標(biāo)準(zhǔn)傳輸率是總線上( A )。A.每秒傳輸?shù)淖畲笞止?jié)量 B.每秒傳輸?shù)淖畲笪粩?shù)C.每秒傳輸?shù)淖畲笮畔⒘?D.每秒傳輸?shù)臄?shù)據(jù)量6.總線的標(biāo)準(zhǔn)傳輸率用( A )表示。A.Mb

8、/sB.MB/s C.Kb/sD.KB/s7.PCI 是( B )與CPU 信號(hào)相連接,擴(kuò)展能力強(qiáng)。且可使外設(shè)與CPU 并發(fā)工作 。A.直接B.通過PCI橋C.通過ISAD.通過控制器三、簡(jiǎn)答題:1.什么是總線標(biāo)準(zhǔn)?為了使總線能夠更好地連接不同的模塊和傳輸數(shù)據(jù),為其制定或公開總線的一些詳細(xì)規(guī)范,這種規(guī)范就叫總線標(biāo)準(zhǔn)。2、為何要制定總線標(biāo)準(zhǔn)? 3.什么是總線?總線是一組信號(hào)的集合,是計(jì)算機(jī)各模塊間進(jìn)行信號(hào)傳輸?shù)墓餐ǖ馈?.PCI總線的優(yōu)點(diǎn)有哪些?PCI總線的優(yōu)點(diǎn):(1)高性能;(2)通用性強(qiáng);(3)低成本;(4)使用方便;(5)可靠性高、數(shù)據(jù)完整性好。第三章一、填空 :1.8086CPU的外部

9、中斷引腳有INTR;NMI。2.8086的中斷可分為內(nèi)部中斷;外部中斷兩大類。3.8086的外部中斷分為可屏蔽中斷(INTR);不可屏蔽中斷(NMI)4.中斷返回時(shí)。8086自動(dòng)從堆棧中取出斷電地址和 值恢復(fù)5.CPU 處理“緊急事件 ”時(shí),原程序的暫時(shí)中斷處稱為 二、選擇題1.CPU響應(yīng)INTR引腳上來的中斷請(qǐng)求的條件是( B )。A.IF=0 B.IF=1C.TF=0D.TF=12.若8259A工作在優(yōu)先級(jí)自動(dòng)循環(huán)方式,則IRQ4的中斷請(qǐng)求被響應(yīng)并且服務(wù)完畢后,優(yōu)先級(jí)最高的中斷源是( B )。A.IRQ3B.IRQ5 C.IRQ0D.IRQ43.8086中斷系統(tǒng)可以管理( C )種中斷。A

10、.16B.1KC.256D.1284.8086中斷向量表的大小為( B )字節(jié)。A.256B.1024 C.2kD.64k5.軟中斷INTn(n=10HFFH)的優(yōu)先級(jí)排列原則是( A )。A.n值愈小級(jí)別越高B.無優(yōu)先級(jí)別C.n值愈大級(jí)別越高D.隨應(yīng)用而定6.兩片8259A級(jí)聯(lián)后可管理( A )級(jí)中斷。A.15B.16 C.32D.647.8086中斷系統(tǒng)中優(yōu)先級(jí)最低的的是( C )。A.可屏蔽中斷B.不可屏蔽中斷C.單步中斷D.除法出錯(cuò)8.在中斷輸入方式下,可將外設(shè)的( A )狀態(tài)線,作為中斷觸發(fā)信號(hào),接口CPU的INTR 引腳上。A.數(shù)據(jù)準(zhǔn)備好READY B.外設(shè)不忙BUSY C.復(fù)位R

11、ESET D.讀(/RD)或?qū)懀?WR)三、簡(jiǎn)答題:1.什么是中斷?所謂中斷是指CPU在正常執(zhí)行程序的過程中,由于內(nèi)部/外部事件的觸發(fā)或由程序的預(yù)先安排,引起CPU暫時(shí)中斷當(dāng)前正在運(yùn)行的程序,而轉(zhuǎn)去執(zhí)行為內(nèi)部/外部事件或程序預(yù)先安排的事件的服務(wù)子程序,待中斷服務(wù)子程序執(zhí)行完畢后,CPU再返回到被暫時(shí)中斷的程序處(斷點(diǎn))繼續(xù)執(zhí)行原來的程序,這一過程稱為中斷。2.什么是中斷向量?中斷向量是中斷服務(wù)程序的入口地址。3.中斷向量與向量中斷是否同一含義?為什么?4.CPU是如何根據(jù)中斷類型碼找到相應(yīng)得中斷服務(wù)程序入口地址的? 5.CPU響應(yīng)可屏蔽中斷的條件是什么?CPU響應(yīng)可屏蔽中斷的條件是:(1)CP

12、U必須處于開中斷狀態(tài)IF=1;(2)CPU現(xiàn)行指令執(zhí)行結(jié)束;(3)沒有其他優(yōu)先級(jí)高的中斷請(qǐng)求。(沒有內(nèi)部中斷,沒有非屏蔽中斷,沒有總線請(qǐng)求。6.初始化命令字的作用是什么?.初始化命令字的作用是使芯片處于一個(gè)規(guī)定的基本工作方式,并在此方式下進(jìn)行工作。7.一個(gè)中斷類型碼為13H的中斷處理子程序存放在0010:3800H開始的內(nèi)存,其中斷向量應(yīng)如何存放?8.什么是中斷向量表?把系統(tǒng)中所有的中斷類型碼及其對(duì)應(yīng)的中斷向量按一定的規(guī)律存放在一個(gè)區(qū)域內(nèi),這個(gè)存儲(chǔ)區(qū)域就叫中斷向量表。9.8259的中斷服務(wù)寄存器ISR的某一位如果置1,其含義是什么?第四章一、填空 :1.8255A是可編程并行接口芯片。2.82

13、55A具有基本I/O;選通I/O;雙向選通I/O三種工作方式。3.8255A有三種工作方式。二、選擇題1.傳輸距離較近時(shí),常采用( D )。A.串行接口B.簡(jiǎn)單接口C.可編程接口 D.并行接口2.并行接口一般要對(duì)輸出數(shù)據(jù)進(jìn)行鎖存,其原因是( A )。A.外設(shè)速度常低于主機(jī)速度B.主機(jī)速度常低于外設(shè)速度C.主機(jī)與外設(shè)速度通常差不多D.要控制對(duì)多個(gè)外設(shè)的存取3.并行接口一般要對(duì)輸入數(shù)據(jù)進(jìn)行緩沖,其原因是( B )。A.等待外設(shè)的數(shù)據(jù)準(zhǔn)備好B.將外設(shè)與數(shù)據(jù)總線隔離C.等待外設(shè)不忙D.要控制對(duì)多個(gè)外設(shè)的存放4.8255A工作在方式2(雙向選通I/O)時(shí),( D )。A.只能作輸入接口B.只能作輸出接口

14、C.作輸入口或作輸出口D.即可作輸入口、也可作輸出口E輸入、輸出可以同時(shí)進(jìn)行三、簡(jiǎn)答題:1.在并行接口中為什么要對(duì)輸出數(shù)據(jù)進(jìn)行鎖存?在什么情況下可以不鎖存?.數(shù)據(jù)鎖存就是延長(zhǎng)數(shù)據(jù)存在時(shí)間,便于與外設(shè)存取時(shí)間配合。在外設(shè)速度與主機(jī)相匹配時(shí),可以不需要鎖存。2.并行接口的主要特點(diǎn)及其主要功能是什么?主要特點(diǎn):數(shù)據(jù)并行傳輸,傳輸速度快,但距離較近。主要功能:并行傳輸數(shù)據(jù),在主機(jī)與外設(shè)之間起到數(shù)據(jù)緩沖和匹配的作用。3.8255A有哪幾種工作方式?8255A有3種工作方式:方式0:基本I/O方式;方式1:?jiǎn)蜗蜻x通I/O方式;方式2:雙向選通I/O方式。四、編程設(shè)計(jì)題1.如圖2所示,8255的A口與共陰極

15、的LED顯示器相連,若片選信號(hào)A9A2=11000100,問8255A的地址范圍是多少?A口應(yīng)工作在什么方式?寫出8255A的初始化程序。圖2(注意:74LS138ABC的A接的是A2)(1)地址范圍:310H313H(2)A口工作在方式0輸出(3)初始化程序MOVAL,80HMOVDX,313HOUTDX,AL2.如圖3,分析各端口的地址,并寫出8255A的初始化程序。圖3(1) 各端口的地址為:A口:310HB口:312HC口:314H控制寄存器:316H(2) 初始化程序:MOVAL,82HMOVDX,316HOUTDX,AL3.如圖4所示電路,分析各端口地址;A口、B口工作在什么方式;

16、寫出8255A的初始化程序。圖4(1) A口:188HB口:189HC口:18AH控制口:18BH(2) A口輸入方式0B口輸出方0 控制字:1001000090H(3) 初始化程序MOVAL,90HMOVDX,18BHOUTDX,AL4.利用8255A檢測(cè)8個(gè)外部開關(guān)量的狀態(tài),1)請(qǐng)畫出接口電路圖,2)寫出初始化程序段。3)編程實(shí)現(xiàn)從A口讀入開關(guān)狀態(tài),存放在ADATA 單元。(1)硬件連接圖,如圖5。圖5(2)初始化程序段MOVAL,90H;A口控制字(A口方式0,輸入)OUTPORT,AL;控制字寫入控制寄存器(PORT代表控制寄存器的端口地址)(3)編程實(shí)現(xiàn)從A口讀入開關(guān)狀態(tài),存放在AD

17、ATA 單元。第五章一、填空 :1.8253有6種工作方式。2.8253中有.三個(gè)獨(dú)立的計(jì)數(shù)器。3.8253是可編程定時(shí)器/計(jì)數(shù)器。4.8253中的每個(gè)計(jì)數(shù)器可作為二進(jìn)制和十進(jìn)制計(jì)數(shù)器用。5.8253共有4個(gè)地址。6.從8253計(jì)數(shù)器中讀出的計(jì)數(shù)值不是讀出瞬間的減計(jì)數(shù)器的內(nèi)容。二、選擇題1.可以從8253的(D )寄存器中讀出減計(jì)數(shù)器的值。A.控制寄存器B.計(jì)數(shù)初值寄存器C.減計(jì)數(shù)單元D.輸出鎖存寄存器2.8253 工作在方式3 方波發(fā)生器時(shí),當(dāng)計(jì)數(shù)初值為奇數(shù)時(shí),輸出波形的高電平和低電平的關(guān)系是( B )A.高電平和低電平一樣寬B.高電平比低電平多一個(gè)計(jì)數(shù)脈沖C. 低電平比高電平多一個(gè)計(jì)數(shù)脈沖

18、D.都有可能,隨機(jī)3.可編程定時(shí)器/計(jì)數(shù)器8253有( C )工作方式。A.一種B.二種 C.六種D.八種4.計(jì)數(shù)器與定時(shí)器的工作原理是( C )。A.不完全相同的B.根本不同的C.相同的D.互不相關(guān)的5.可編程定時(shí)器/計(jì)數(shù)器8253的控制字為( A )個(gè)字節(jié)。A.1 B.2 C.3 D.46.起動(dòng)8253的計(jì)數(shù)器開始或計(jì)數(shù)的方式有( C )。A.軟件方式B.硬件方式 C.軟件和硬件方式D.門控信號(hào)7.向8253寫入的計(jì)數(shù)初值寫到了( A )中。A.初值寄存器B.計(jì)數(shù)器0# C.減計(jì)數(shù)單元D.控制字寄存器8.在對(duì)8253進(jìn)行任何操作前,都必須先向8253寫入一個(gè)( A ),以確定8253的工作

19、方式。A.控制字 B.計(jì)數(shù)初值 C.狀態(tài)字 D.指令9.計(jì)數(shù)初值送到8253的( B )寄存器中。A.控制寄存器B.計(jì)數(shù)初值寄存器C.減計(jì)數(shù)單元D.輸出鎖存寄存器三、簡(jiǎn)答題:1.為什么要對(duì)8253寫控制字?8253有多種工作方式供選擇,因此8253在使用前必須先向其寫控制字,以決定究竟工作在哪一種方式。2.定時(shí)器和計(jì)數(shù)器有什么相同和不同?定時(shí)器和計(jì)數(shù)器是同一器件計(jì)數(shù)器件,其共同的特點(diǎn)是都有一個(gè)計(jì)數(shù)脈沖輸入端,每輸入一個(gè)脈沖,計(jì)數(shù)器就進(jìn)行加1或減1計(jì)數(shù)。若計(jì)數(shù)器件的計(jì)數(shù)脈沖的頻率固定,則可利用計(jì)數(shù)實(shí)現(xiàn)定時(shí),這就是定時(shí)器。若計(jì)數(shù)器件的作用僅僅是記錄輸入脈沖的多少,則稱為計(jì)數(shù)器。3.8253的控制字

20、是否可以讀出?計(jì)數(shù)初值是否可以讀出?可以從8253讀出什么內(nèi)容?(1)不可以;(2)不可以;(3)從輸出鎖存寄存器讀出減計(jì)數(shù)單元中的計(jì)數(shù)值。4.從8253計(jì)數(shù)器中讀出的計(jì)數(shù)值是否是讀出瞬間的減計(jì)數(shù)器的內(nèi)容?不是。因?yàn)樽x出的是輸出鎖存寄存器的內(nèi)容不是減計(jì)數(shù)器的內(nèi)容。5、設(shè)用定時(shí)器/計(jì)數(shù)器8253 對(duì)一個(gè)輸入脈沖進(jìn)行2M次分頻,問能否采用一個(gè)計(jì)數(shù)器完成,為什么?可以采用什么方法實(shí)現(xiàn)要求的分頻?不能,因?yàn)橐粋€(gè)計(jì)數(shù)器的最大分頻是FFFFH為65535??梢杂脙蓚€(gè)計(jì)數(shù)器完成,第一個(gè)計(jì)數(shù)器分頻1000次,將分頻的結(jié)果送入第二個(gè)計(jì)數(shù)器,第二個(gè)分頻2000次加起來為1000*2000=2M四、編程設(shè)計(jì)題1.寫

21、出如圖1所示原理圖中,芯片8253選通工作的地址范圍,各端口地址各為多少?設(shè)置8253計(jì)數(shù)器0#為BCD碼計(jì)數(shù)方式,工作于方式3(方波發(fā)生器),CLK0輸入端每來5個(gè)計(jì)數(shù)脈沖,輸出端OUT0的高電平與低電平反相一次(對(duì)稱方波),寫出設(shè)置控制字的指令序列。(1)地址范圍:80H83H;計(jì)數(shù)器0#:80H;計(jì)數(shù)器1#:81H;計(jì)數(shù)器2#:82H;控制寄存器:83H(2)計(jì)數(shù)器0#為BCD碼計(jì)數(shù)方式,工作于方式3,方式控制字為00010111B=17HCLK0輸入端每來5個(gè)計(jì)數(shù)脈沖,輸出端OUT0的高電平與低電平反相一次(對(duì)稱方波),即計(jì)數(shù)初值為10,BCD碼計(jì)數(shù),為10H。(3)8253初始化程序

22、: MOVAL,17HOUT83H,ALMOVAL,10HOUT80H,AL第六章一、填空 :1.0809是常用的A/D轉(zhuǎn)換芯片。2.數(shù)/模轉(zhuǎn)換方式有多種方法,常用的是T型電阻網(wǎng)絡(luò)法。3.0832是常用的D/A轉(zhuǎn)換芯片。4將各種物理量變成電信號(hào)的裝置稱為傳感器5、逐次逼近式A/D轉(zhuǎn)換器內(nèi)部有一個(gè)D/A轉(zhuǎn)換器。6、ADC0809的EOC 引腳如果輸出為高電平,表示A/D轉(zhuǎn)換結(jié)束。7. 若要使D/A轉(zhuǎn)換器的輸出端電壓波形更為光滑,可以在D/A 轉(zhuǎn)換器輸出端加一個(gè)濾波電路二、選擇題1.當(dāng)外設(shè)輸入的信號(hào)變化很快時(shí),應(yīng)考慮在A/D轉(zhuǎn)換器的輸入端加一個(gè)(C )。A.傳感器B.濾波電路C.采樣保持器D.多路

23、模擬開關(guān)2.梯形電阻網(wǎng)絡(luò)中的電阻為( B )。A.10kW和20kWB.R和2RC.R和RFD.2R和RF3.D/A轉(zhuǎn)換器輸出模擬電壓的最大幅值不會(huì)超過(D )。A.+5VB.0V C.輸入電壓 D.參考電壓4.當(dāng)外設(shè)的輸出信號(hào)為模擬電壓時(shí),需在輸入通道中設(shè)計(jì)一個(gè)( A )。A.A/D轉(zhuǎn)換器B.D/A轉(zhuǎn)換器C.定時(shí)器/計(jì)數(shù)器D.傳感器5.使多路模擬信號(hào)共有一個(gè)A/D轉(zhuǎn)換器時(shí),需使用(B )。A.采樣保持器B.多路模擬開關(guān)C.傳感器D.濾波電路6.將各種物理信號(hào)變成電信號(hào)的裝置稱為( B )。A.采樣保持器B.傳感器C.模/數(shù)轉(zhuǎn)換器D.數(shù)/模轉(zhuǎn)換器7.當(dāng)外設(shè)所需的控制信號(hào)為模擬電壓時(shí),需在輸出通

24、道中設(shè)計(jì)一個(gè)(D )。A.A/D轉(zhuǎn)換器B.定時(shí)/計(jì)數(shù)器C.傳感器D.D/A轉(zhuǎn)換器8.ADC0809的EOC引腳如果輸出為高電平,表示(C )。A.啟動(dòng)A/D轉(zhuǎn)換B.讀出數(shù)字量C.A/D轉(zhuǎn)換結(jié)束D.A/D轉(zhuǎn)換器故障三、簡(jiǎn)答題:1.什么是采樣保持器?什么時(shí)候需用到采樣保持器?采樣保持器使在進(jìn)行A/D轉(zhuǎn)換時(shí),輸入到A/D轉(zhuǎn)換器的模擬電壓保持不變。當(dāng)輸入的模擬電壓變化較A/D轉(zhuǎn)換器的速度快時(shí),需在A/D轉(zhuǎn)換器的輸入端加一個(gè)采樣保持器。2.在啟動(dòng)A/D轉(zhuǎn)換后,如果不查詢EOC狀態(tài),而是進(jìn)行一段時(shí)間的延時(shí)后,再讀入數(shù)字量,能否讀出正確的結(jié)果?不一定。如果延時(shí)的時(shí)間長(zhǎng)度超過A/D轉(zhuǎn)換的時(shí)間長(zhǎng)度,可讀入正確的

25、數(shù)字量。四、編程設(shè)計(jì)題1.如圖6所示電路,使用DAC0832 在U0產(chǎn)生持續(xù)正三角波。(1)設(shè)計(jì)一個(gè)產(chǎn)生地址為300H的地址譯碼信號(hào),畫出采用74LS138譯碼器的地址譯碼電路。(考試的時(shí)候可能改變地址,如:301H 302H 等)(2)產(chǎn)生正三角波,DAC0832的參考電源UERF應(yīng)如何接?(3)寫出產(chǎn)生三角波的程序。圖6答:1)采用74LS138譯碼器的地址譯碼電路,如圖11。圖11(2)產(chǎn)生正三角波,DAC0832的參考電源UERF應(yīng)接-5V。(3)產(chǎn)生持續(xù)三角波的程序:MAINPROCFARPUSHDSMOVAX,0PUSHAXNEXT:MOVAL,0NEXT1:MOVDX,300hO

26、UTDX,ALCALLDELAYADDAL,1CMPAL,0FFHJBNEXT1NEXT2:MOVDX,300HOUTDX,ALCALLDELAYSUBAL,1CMPAL,0JANEXT2JMPNEXTRETMAINENDP第七章一、填空 :1.軟件識(shí)別按鍵時(shí),當(dāng)識(shí)別有鍵按下后所加的一段延時(shí)程序是為了去抖動(dòng)。2.常用的軟件識(shí)別按鍵的方法有行掃描法;反轉(zhuǎn)法。3.LED顯示器有共陽(yáng)極和共陰極之分。4、LCD顯示器本身不發(fā)光。5、對(duì)于共陰極的LED數(shù)碼管的位控制端的電流比段控端的電流大。6、當(dāng)LED數(shù)碼管各段均亮的時(shí),其消耗的電流最大。7、字型碼是輸給8段顯示器的段控端。8、限流電阻應(yīng)該加在8段數(shù)碼

27、管的段控端。9、LCD 是利用液晶材料的旋光特性制成的顯示器。10、為了延長(zhǎng)LCD顯示器的使用壽命,應(yīng)該在其上、下電極之間加交流電壓。二、選擇題1.用8255的PA口和PC口的低4位接一個(gè)鍵盤陣列,最多可識(shí)別( D )個(gè)按鍵。A.6B.8 C.16D.322.LCD顯示器比LED顯示器(B )。A.費(fèi)電B.省電 C.亮度高D.屏幕大3.使8段LED顯示器顯示數(shù)字的編碼稱為( A )。A.字形碼B.ASCII碼 C.區(qū)位碼 D.BCD碼4.按鍵的抖動(dòng)是由 C 造成的。A.電壓不穩(wěn)定B.電流不穩(wěn)定C.機(jī)械運(yùn)動(dòng)抖動(dòng)和接觸不穩(wěn)定D.按鍵速度太慢5消除按鍵的抖動(dòng),常用的方法是( D )A.更換按鍵B.硬件延遲C.隨機(jī)等待D.軟件延遲第八章一、填空 :1.調(diào)制解調(diào)器是調(diào)制器;解調(diào)器的組合器件。2.面向字符型的串行通信協(xié)議規(guī)定數(shù)據(jù)是以字符為單位傳送的。3.串行

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論