




版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領
文檔簡介
1、基于eda技術的交通燈設計 班 級: 姓 名: 學 號: 指導教師: 1、緒論1.1課程設計背景 隨著世界范圍內(nèi)城市化和機動化進程的加快,城市交通越來越成為一個全球化的問題。城市交通基礎設施供給滯后于高速機動化增長需求,道路堵塞日趨加重,交通事故頻繁,環(huán)境污染加劇等問題普遍存在。目前,全國大中城市普遍存在著道路擁擠、車輛堵塞、交通秩序混亂的現(xiàn)象,交通事故頻發(fā),這給人民的生命財產(chǎn)安全帶來了極大的損失。如何解決城市交通問題已成為全社會關注的焦點和大眾的迫切呼聲。探究城市交通發(fā)展中存在問題的原因,無論是從宏觀上還是從微觀上分析,其根本原因在于城市交通系統(tǒng)的管理機制不適應。城市交通控制系統(tǒng)(utc ,
2、urban traffic control system)是現(xiàn)代城市智能交通系統(tǒng)(idj ,intelligent transport system)的組成之一,主要用于城市道路交通的控制與管理。城市平交路口實現(xiàn)交通信號控制是城市交通管理現(xiàn)代化的基本標志之一,是提高交通管理效能的重要技術手段。路口信號控制器是控制交叉路口交通信號的設備,它是交通信號控制的重要組成部分。各種交通控制方案,最終都要由路口信號控制器來實現(xiàn)。為了確保十字路口的行人和車輛順利、暢通地通過,往往采用電子控制的交通信號來進行指揮。伴隨著社會的發(fā)展以及人類生活水平的提高,汽車的數(shù)量在不斷增加,eda技術的發(fā)展和應用領域也在不斷
3、的擴大與深入,機械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學、軍事等各個領域的重要性日益突出。利用eda技術設計交通燈來完成這個需求就顯的更加迫切,同樣也是非常的實用和合理。1.2 課程設計目a.掌握十字路口交通燈控制的設計原理,并能夠運用vhdl編程語言編寫出實驗程序,進一步對所學的eda知識進行掌握與實際應用。b.學會在max+plus 軟件環(huán)境中仿真,熟悉軟件的基本操作和運行環(huán)境。c.鍛煉自己獲取信息的能力,以及能夠獨立自主的思考和解決問題的能力。2、系統(tǒng)分析與總體方案2.1系統(tǒng)分析 通過分析可以知道,所要設計的十字路口交通燈控制電路要能夠使南北、東西各四個燈(紅、黃、綠、左轉),四
4、個燈能夠按順序依次亮滅。而且要求綠燈亮轉紅燈亮或者轉左轉燈亮之前要先轉黃燈亮5秒,左轉燈亮轉紅燈亮之前也要先轉黃燈亮5秒,紅燈亮可以直接轉綠燈或左轉燈亮(四種燈的循環(huán)順序如圖2.1所示)。還要求四種燈的點亮時間能夠以倒計時的形式顯示出來??梢杂胿hdl語言合理設計系統(tǒng)功能,使紅黃綠左轉燈的轉換有一個準確的時間間隔和轉換順序。綠燈黃燈左轉燈黃燈紅燈圖2.1 四種燈的循環(huán)順序2.2 方案比較實現(xiàn)路口交通燈的方法很多,可以用標準邏輯器件、可變程序控制器和單片機等方案來實現(xiàn)。若用單片機來實現(xiàn)的話,模型可以由電源電路、單片機主控電路、無限收發(fā)控制電路和顯示電路四部分組成。在電源電路中,需要用到+5v的直
5、流穩(wěn)壓電源,無限收發(fā)控制電路和顯示電路應由編碼芯片和數(shù)據(jù)發(fā)射模塊兩部分組成,主控電路的主要元件為at89c51。硬件設計完成后還要利用計算機軟件經(jīng)行軟件部分的設計才能夠實現(xiàn)相應的功能。雖然利用單片機系統(tǒng)設計的交通燈控制器相對來說比較穩(wěn)定,能夠完成較多功能的實現(xiàn),但這些控制方法的功能修改及調試都需要硬件電路的支持,在一定程度上增加了功能修改和系統(tǒng)設計與調試的困難。相反,使用基于fpga的方法具有周期短、使用靈活、易于修改等明顯的優(yōu)點。而且,隨著fpga器件,設計語言和電子設計自動化工具的發(fā)展和改進,越來越多的電子系統(tǒng)采用fpga來設計。未來使用fpga器件設計的產(chǎn)品將出現(xiàn)在各個領域。因此此次的交
6、通燈控制器將基于fpga的設計方案來實現(xiàn)所需要的功能。 2.3 設計的總體方案 根據(jù)設計要求和系統(tǒng)所具有功能,并參考相關的文獻資料經(jīng)行方案設計畫出如下所示的十字路口交通燈控制器系統(tǒng)框圖,及為設計的總體方案,框圖如下圖3.1所示:clk時鐘分頻模塊交通燈控制及計時模塊掃描顯示模塊led顯示數(shù)碼管位碼數(shù)碼管段碼圖2.2 系統(tǒng)的框圖3、硬件電路設計3.1分頻器設計 分頻器實現(xiàn)的是將高頻時鐘信號轉換成低頻時鐘信號,用于觸發(fā)控制器、計數(shù)器和掃描顯示電路。具體實物模塊如圖: 圖3.1 分頻器模塊3.2 狀態(tài)控制電路設計狀態(tài)控制器的作用是根據(jù)計數(shù)器的計數(shù)值控制各方向上發(fā)光二極管的亮、滅,當計時時間到達,狀態(tài)
7、控制器就響應,自動跳轉到下一個狀態(tài)。此外,當檢測到特殊情況(emi =1)發(fā)生時,無條件點亮紅燈的二極管(急救燈按下emi='1',則東西南北都亮紅燈,在這種狀態(tài)下原來的狀態(tài)必須保持,即東西南北方向定時時間保持不變。急救燈未按下或者按下后恢復,則繼續(xù)計時(計時通過計數(shù)器ct),同時恢復東西南北原來燈的狀態(tài))。具體實物模塊如圖:圖3.2控制電路模塊3.3計數(shù)器設計這里需要的計數(shù)器的計數(shù)范圍為0-80。計到80后,下一個時鐘沿回復到0,開始下一輪計數(shù)。此外,當檢測到特殊情況(emi =1)發(fā)生是,計數(shù)器暫停計數(shù),而系統(tǒng)復位信號reset則使計數(shù)器異步清零。圖3.3計數(shù)器模塊3.4譯
8、碼顯示電路設計根據(jù)狀態(tài)控制器所控制的狀態(tài)和計數(shù)器的計時時間,選擇當前狀態(tài)下的顯示器,使顯示器輸出當前狀態(tài)下的數(shù)碼管亮、滅指令,其中數(shù)碼管的顯示采用動態(tài)掃描顯示。具體實物模塊如圖:圖3.4譯碼顯示電路模塊4、實驗程序設計一個完整的vhdl語言程序通常包括實體(entity)、構造體(architecture)、配置、包集合(package)和庫(library)5個部分組成。下面結合實際的程序來加以說明。4.1實體定義:library ieee;use ieee.std_logic_1164.all;entity traffic_light is port(clk : in std_logic;
9、 - 時鐘頻率為250khz. start : in std_logic; - 交通燈控制:'1' 開, '0' 關. emi : in std_logic; - 急救燈控制,'1' 所有紅燈亮. ew_rled : out std_logic; -東西紅燈控制,'0' 開, '1' 關. ew_gled : out std_logic; - 東西綠燈控制,'0' 開, '1' 關. ew_yled : out std_logic; - 東西黃燈控制,'0' 開, &
10、#39;1' 關. ew_turnled: out std_logic; - 東西左轉燈控制,'0' 開, '1' 關 sn_rled : out std_logic; - 南北紅燈控制,'0' 開, '1' 關 sn_gled : out std_logic; - 南北綠燈控制,'0'開, '1'關 sn_yled : out std_logic; - 南北黃燈控制,'0' 開, '1' 關 sn_turnled: out std_logic; - 南北左轉
11、燈控制,'0' 開, '1' 關 l : out std_logic_vector(2 downto 0); -顯示器選取 dled : out std_logic_vector(7 downto 0); -顯示器數(shù)據(jù)輸出end traffic_light;4.2譯碼顯示: architecture control of traffic_light is type eastwest is (ew_red,ew_green,ew_yellow0,ew_yellow1,ew_turnl); type southnorth is (sn_red,sn_green,sn
12、_yellow0,sn_yellow1,sn_turnl); signal ew_state: eastwest; signal sn_state: southnorth; begin process(clk) function display(s:integer range 0 to 9) return std_logic_vector is variable result:std_logic_vector(7 downto 0); begin cases is when 0 => result:=x"fc"-0 when 1 => result:=x&quo
13、t;60"-1 when 2 => result:=x"da"-2 when 3 => result:=x"f2"-3 when 4 => result:=x"66"-4 when 5 => result:=x"b6"-5 when 6 => result:=x"be"-6 when 7 => result:=x"e0"-7 when 8 => result:=x"fe"-8 when 9 => r
14、esult:=x"f6"-9 when others => null; end case; return result;end display; 4.3狀態(tài)轉換: variable cnt:integer range 0 to 7; variable ct:integer range 0 to 5; variable ew_secondh,sn_secondh: integer range 0 to 8; variable ew_secondl,sn_secondl: integer range 0 to 9; begin if start='0' t
15、hen 初始化 dled<=x"00" ew_state<=ew_red; sn_state<=sn_green; ew_secondh:=8; ew_secondl:=0; sn_secondh:=5; sn_secondl:=0; ew_rled<='0' ew_gled<='1' ew_yled<='1'ew_turnled<='1' sn_rled<='1' sn_gled<='0' sn_yled<='
16、1'sn_turnled<='1' elsif clk'event and clk='1' then - clk rising edge detection cnt:=cnt+1; if emi='1' then ew_rled<='0' ew_gled<='1' ew_yled<='1'ew_turnled<='1' sn_rled<='0' sn_gled<='1' sn_yled<=
17、'1'sn_turnled<='1' else ct:=ct+1; case ew_state is when ew_red => ew_rled<='0' ew_gled<='1' ew_yled<='1'ew_turnled<='1' - 東西向紅燈 when ew_green => ew_rled<='1' ew_gled<='0' ew_yled<='1' ew_turnled<
18、='1'- 東西向綠燈 when ew_yellow0 => ew_rled<='1' ew_gled<='1' ew_yled<='0'ew_turnled<='1' - 東西向黃燈 when ew_yellow1 => ew_rled<='1' ew_gled<='1' ew_yled<='0'ew_turnled<='1' -東西向黃燈 when ew_turnl => ew_tu
19、rnled<='0'ew_rled<='1' ew_gled<='1' ew_yled<='1' - 東西向左轉燈 when others => ew_rled<='0' ew_gled<='1' ew_yled<='1' ew_turnled<='1'- 東西向紅燈 end case; case sn_state is when sn_red => sn_rled<='0' sn_gl
20、ed<='1' sn_yled<='1' sn_turnled<='1' - 南北向紅燈 when sn_green => sn_rled<='1' sn_gled<='0' sn_yled<='1'sn_turnled<='1' - 南北向綠燈 when sn_yellow0 => sn_rled<='1' sn_gled<='1' sn_yled<='0'sn_
21、turnled<='1' - 南北向黃燈 when sn_yellow1 => sn_rled<='1' sn_gled<='1' sn_yled<='0'sn_turnled<='1' - 南北向黃燈 when sn_turnl=> sn_turnled<='0'sn_rled<='1' sn_gled<='1' sn_yled<='1' - 南北向左轉燈 when others =&
22、gt; sn_rled<='0' sn_gled<='1' sn_yled<='1'sn_turnled<='1' - 南北向紅燈 end case; end if; if ct=5 then ct:=0; if ew_secondh=0 and ew_secondl=0 then 狀態(tài)結束 case ew_state is 東西向當前狀態(tài) when ew_red => ew_state<=ew_green; ew_secondh:=5; ew_secondl:=0; -下一狀態(tài)的綠燈 when
23、 ew_green => ew_state<=ew_yellow0; ew_secondh:=0; ew_secondl:=5; -下一狀態(tài)的黃燈 when ew_yellow0 => ew_state<=ew_turnl; ew_secondh:=2; ew_secondl:=0; - 下一狀態(tài)的左轉燈 when ew_turnl =>ew_state<=ew_yellow1; ew_secondh:=0; ew_secondl:=5; - 下一狀態(tài)的黃燈 when ew_yellow1 =>ew_state<=ew_red; ew_secon
24、dh:=8; ew_secondl:=0; - 下一狀態(tài)的紅燈 when others => ew_state<=ew_red; ew_secondh:=8; ew_secondl:=0; - 下一狀態(tài)的紅燈 end case; elsif ew_secondl=0 then ew_secondl:=9; ew_secondh:=ew_secondh-1; else ew_secondl:=ew_s_secondl-1; end if; if sn_secondh=0 and sn_secondl=0 then 狀態(tài)結束 case sn_state is 南北向當前狀態(tài) when
25、sn_red => sn_state<=sn_green; sn_secondh:=5; sn_secondl:=0; - 下一狀態(tài)的綠燈 when sn_green => sn_state<=sn_yellow0; sn_secondh:=0; sn_secondl:=5; -下一狀態(tài)的黃燈 when sn_yellow0 => sn_state<=sn_turnl; sn_secondh:=2; sn_secondl:=0; -下一狀態(tài)的左轉燈 when sn_turnl =>sn_state<=sn_yellow1; sn_secondh:
26、=0; sn_secondl:=5; -下一狀態(tài)的黃燈 when sn_yellow1 =>sn_state<=sn_red; sn_secondh:=8; sn_secondl:=0; -下一狀態(tài)的紅燈 when others => sn_state<=sn_red; sn_secondh:=8; sn_secondl:=0; -下一狀態(tài)的紅燈 end case; elsif sn_secondl=0 then sn_secondl:=9; sn_secondh:=sn_secondh-1; else sn_secondl:=sn_secondl-1; end if;
27、 end if;4.4顯示器片選: case cnt is 顯示時間 when 0 => l<="000" dled<=display(ew_secondh); when 1 => l<="001" dled<=display(ew_secondl); when 2 => l<="010" dled<=x"00" when 3 => l<="011" dled<=x"00" when 4 => l&
28、lt;="100" dled<=x"00" when 5 => l<="101" dled<=x"00" when 6 => l<="110" dled<=display(sn_secondh); when 7 => l<="111" dled<=display(sn_secondl); end case; end if; end process;end control;5、程序仿真與分析5.1 仿真結果利用max+
29、plus ii軟件對本程序進行編譯,生成了可以進行仿真定時分析以及下載到可編程器件的相關文件。仿真結果如圖5.1所示:圖5.1 仿真結果5.2 仿真結果分析通過設定clk值以及start和emi的初值,就可以得到如上所示的仿真波形圖。由仿真波形圖可以看出波形是由start初值信號觸發(fā)而顯示出各個狀態(tài)的。emi高電平信號輸入時,所有交通燈都變?yōu)榧t燈狀態(tài)(符合任務書的要求)。由仿真波形圖還可以清楚的看出各時間段每個交通燈的狀態(tài)。要得到正確的仿真波形圖就不許設定合適的時間信號clk值。如果clk值設置的太小則交通燈狀態(tài)變化得太快無法分辨,如果clk值設置得太大則交通燈狀態(tài)轉換緩慢,效果不明顯。其次,
30、要設定start初值,如果沒有設定start初值就不可能觸發(fā)而得到仿真圖。最后,就是要設置一emi高電平信號來檢測緊急情況下的交通燈的狀態(tài)。5.3硬件運行結果 將程序下載到實驗板上,經(jīng)過硬件測試達到了設計要求,實現(xiàn)了交通燈控制器的基本功能,下圖為運行結果圖:6、心得體會eda課程設計就要結束了,這次課程設計歷時近二個星期,通過這兩個星期的學習,發(fā)現(xiàn)了自己的很多不足,發(fā)現(xiàn)了很多知識上的漏洞。同時也看到了自己的實踐經(jīng)驗還是比較缺乏,理論聯(lián)系實際的能力還急需提高。這次課程設計讓我學到了很多,不僅是鞏固了先前學的eda技術的理論知識,而且也培養(yǎng)了我的動手能力,更令我的創(chuàng)造性思維得到拓展。在課程設計中一
31、個人的力量是遠遠不夠的,真正的完成任務需要共同的智慧與勞動,團結協(xié)作是我們成功的一項非常重要的保證。在這個過程中,我也曾經(jīng)因為實踐經(jīng)驗的缺乏失落過,也曾經(jīng)仿真成功而熱情高漲。還有一點是我們做任何事情都無法缺少的,那就是細心認真。此次設計我們就深深地體會到了,由于編程的時候沒有做到足夠的細心,導致一串代碼弄混了。但是密密麻麻的英文字母混在一起,我始終沒有發(fā)現(xiàn)。最終在調試的時候,就出現(xiàn)了問題。只知道出現(xiàn)了問題,就是不知道到問題的根源在哪里,好長時間都沒有找出問題的所在。這也讓我真正的明白了,科學的嚴謹性,它不允許出半點差錯,否則后果會是比較麻煩的。做其他事情也一樣,都需要我們付出足夠的認真去對待,
32、才能順利的完成。生活就是這樣,汗水預示著結果也見證著收獲。勞動是人類生存生活永恒不變的話題。雖然我這次做的課程設計不是非常的復雜,但在設計和仿真的過程中,我們也遇到了不少的困難,回首整個過程,卻受益匪淺。對我而言,知識上的收獲重要,精神上的豐收更加可喜。讓我知道了學無止境的道理。我們每一個人永遠不能滿足于現(xiàn)有的成就,人生就像在爬山,一座山峰的后面還有更高的山峰在等著你。挫折是一份財富,經(jīng)歷是一份擁有。這次課程設計必將成為我人生旅途上一個非常美好的回憶!參考文獻1 閻石 主編,數(shù)字電子技術基礎,高等教育出版社,19982 譚會生等主編,eda技術及應用,西安電子科技大學出版社,20013 廖裕評
33、等主編,cpld數(shù)字電路設計使用max+plus入門篇,清華大學出版社,20014 馮濤等主編,可編程邏輯器件開發(fā)技術:max+plus入門與提高,人民郵電出版社,20025 楊崇志,特殊新型電子元件手冊,遼寧科學技術出版社,19996 彭介華,電子技術課程設計指導高等教育出版社.2000年出版.7 mark zwolinski, digital system design with vhdl, 電子工業(yè)出版社,20028 alan b. marcovitz introduction to logic design, 電子工業(yè)出版社,2002附錄a、仿真時序波形圖附錄b、vhdl源程序代碼li
34、brary ieee;use ieee.std_logic_1164.all;entity traffic_light is port(clk : in std_logic; - 時鐘頻率為250khz. start : in std_logic; - 交通燈控制:'1' 開, '0' 關. emi : in std_logic; - 急救燈控制,'1' 所有紅燈亮. ew_rled : out std_logic; -東西紅燈控制,'0' 開, '1' 關. ew_gled : out std_logic; -
35、東西綠燈控制,'0' 開, '1' 關. ew_yled : out std_logic; - 東西黃燈控制,'0' 開, '1' 關. ew_turnled: out std_logic; - 東西左轉燈控制,'0' 開, '1' 關 sn_rled : out std_logic; - 南北紅燈控制,'0' 開, '1' 關 sn_gled : out std_logic; - 南北綠燈控制,'0'開, '1'關 sn_yled :
36、 out std_logic; - 南北黃燈控制,'0' 開, '1' 關 sn_turnled: out std_logic; - 南北左轉燈控制,'0' 開, '1' 關 l : out std_logic_vector(2 downto 0); -顯示器選取 dled : out std_logic_vector(7 downto 0); -顯示器數(shù)據(jù)輸出end traffic_light;architecture control of traffic_light is type eastwest is (ew_red,ew
37、_green,ew_yellow0,ew_yellow1,ew_turnl); type southnorth is (sn_red,sn_green,sn_yellow0,sn_yellow1,sn_turnl); signal ew_state: eastwest; signal sn_state: southnorth; begin process(clk) function display(s:integer range 0 to 9) return std_logic_vector is variable result:std_logic_vector(7 downto 0); be
38、gin cases is when 0 => result:=x"fc"-0 when 1 => result:=x"60"-1 when 2 => result:=x"da"-2 when 3 => result:=x"f2"-3 when 4 => result:=x"66"-4 when 5 => result:=x"b6"-5 when 6 => result:=x"be"-6 when 7 => r
39、esult:=x"e0"-7 when 8 => result:=x"fe"-8 when 9 => result:=x"f6"-9 when others => null; end case; return result;end display; variable cnt:integer range 0 to 7; variable ct:integer range 0 to 5; variable ew_secondh,sn_secondh: integer range 0 to 8; variable ew_s
40、econdl,sn_secondl: integer range 0 to 9; begin if start='0' then 初始化 dled<=x"00" ew_state<=ew_red; sn_state<=sn_green; ew_secondh:=8; ew_secondl:=0; sn_secondh:=5; sn_secondl:=0; ew_rled<='0' ew_gled<='1' ew_yled<='1'ew_turnled<='1
41、39; sn_rled<='1' sn_gled<='0' sn_yled<='1'sn_turnled<='1' elsif clk'event and clk='1' then - clk rising edge detection cnt:=cnt+1; if emi='1' then ew_rled<='0' ew_gled<='1' ew_yled<='1'ew_turnled<=
42、9;1' sn_rled<='0' sn_gled<='1' sn_yled<='1'sn_turnled<='1' else ct:=ct+1; case ew_state is when ew_red => ew_rled<='0' ew_gled<='1' ew_yled<='1'ew_turnled<='1' - 東西向紅燈 when ew_green => ew_rled<='
43、1' ew_gled<='0' ew_yled<='1' ew_turnled<='1'- 東西向綠燈 when ew_yellow0 => ew_rled<='1' ew_gled<='1' ew_yled<='0'ew_turnled<='1' - 東西向黃燈 when ew_yellow1 => ew_rled<='1' ew_gled<='1' ew_yled<=&
44、#39;0'ew_turnled<='1' -東西向黃燈 when ew_turnl => ew_turnled<='0'ew_rled<='1' ew_gled<='1' ew_yled<='1' - 東西向左轉燈 when others => ew_rled<='0' ew_gled<='1' ew_yled<='1' ew_turnled<='1'- 東西向紅燈 end c
45、ase; case sn_state is when sn_red => sn_rled<='0' sn_gled<='1' sn_yled<='1' sn_turnled<='1' - 南北向紅燈 when sn_green => sn_rled<='1' sn_gled<='0' sn_yled<='1'sn_turnled<='1' - 南北向綠燈 when sn_yellow0 => sn_rl
46、ed<='1' sn_gled<='1' sn_yled<='0'sn_turnled<='1' - 南北向黃燈 when sn_yellow1 => sn_rled<='1' sn_gled<='1' sn_yled<='0'sn_turnled<='1' - 南北向黃燈 when sn_turnl=> sn_turnled<='0'sn_rled<='1' sn
47、_gled<='1' sn_yled<='1' - 南北向左轉燈 when others => sn_rled<='0' sn_gled<='1' sn_yled<='1'sn_turnled<='1' - 南北向紅燈 end case; end if; if ct=5 then ct:=0; if ew_secondh=0 and ew_secondl=0 then 狀態(tài)結束 case ew_state is 東西向當前狀態(tài) when ew_red => ew_state<=ew_green; ew_secondh:=5; ew_secondl:=0; -下一狀態(tài)的綠燈 when ew_green => ew_state<=ew_yellow0; ew_secondh:=0; ew_secondl:=5; -下一狀態(tài)的黃燈 when ew_yellow0 => ew_state<=ew_turnl; ew_secondh:=2; ew_secondl:=0; - 下一狀態(tài)的左轉燈 when ew_
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 【正版授權】 ISO 16826:2025 EN Non-destructive testing - Ultrasonic testing - Testing for discontinuities perpendicular to the surface
- 山東省濱州市惠民縣2024-2025學年九年級上學期期末化學試題(含答案)
- 遼寧省鞍山市2024-2025學年高一上學期期末物理試卷(含答案)
- 綠色營銷的評價體系講義
- (一模)哈三中2025屆高三第一次模擬考試 地理試題(含答案)
- 中小學消防知識培訓課件
- 企業(yè)員工培訓體系構建與實踐經(jīng)驗分享
- 形容詞級與最高級的用法對比高一英語教學設計
- 物聯(lián)網(wǎng)智能家居解決方案合同
- 三只小豬蓋房記讀后感
- 安徽交通職業(yè)技術學院單招《職業(yè)技能測試》參考試題庫(含答案)
- 銀行國內(nèi)保理業(yè)務操作規(guī)程
- 二級公立醫(yī)院績效考核三級手術目錄(2020版)
- 有創(chuàng)動脈血壓監(jiān)測護理查房課件
- 宋朝服飾介紹分享
- 小波分析及其MATLAB實現(xiàn)
- 2021中國靜脈血栓栓塞癥防治抗凝藥物的選用與藥學監(jiān)護指南(2021版)解讀
- 拇指骨折護理查房
- 職業(yè)院校技能大賽(健身指導賽項)備考試題庫(含答案)
- 牙周檢查記錄表
- GB/T 10060-2023電梯安裝驗收規(guī)范
評論
0/150
提交評論