正弦信號(hào)發(fā)生器訓(xùn)練資料_第1頁(yè)
正弦信號(hào)發(fā)生器訓(xùn)練資料_第2頁(yè)
正弦信號(hào)發(fā)生器訓(xùn)練資料_第3頁(yè)
正弦信號(hào)發(fā)生器訓(xùn)練資料_第4頁(yè)
正弦信號(hào)發(fā)生器訓(xùn)練資料_第5頁(yè)
已閱讀5頁(yè),還剩18頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、1 正弦信號(hào)發(fā)生器摘要:本 文 介 紹 以 dds芯 片 ad9851 為 產(chǎn) 生 正 弦 波 信 號(hào) 核 心 , 以 單 片 機(jī) 為 主 控 制 器 ,實(shí) 現(xiàn) 了 從 低 頻 100hz到 高 頻 10mhz 寬 頻 帶 的 頻 率 任 意 設(shè) 定 ( 亦 可 設(shè) 定 步 進(jìn) 為 10hz或 者 100hz等 可 調(diào) ) ?高 精 度 ( 頻穩(wěn)度優(yōu)于10-5) 的 正 弦 信 號(hào) 發(fā) 生 器 , 輸 出 電 壓 幅 度 在50 歐 姆 負(fù) 載 上 輸 出 幅 度 大 于 1v?并 且 實(shí) 現(xiàn) 了 產(chǎn) 生 從 1mhz10mhz范圍內(nèi)調(diào)制度am可調(diào)的模擬幅度調(diào)制(am) 信號(hào) ,和把自行產(chǎn)生的

2、m 序列數(shù)字二進(jìn)制基帶信號(hào)調(diào)制成在100khz 固定頻率載波二進(jìn)制鍵控的ask 和 psk?1?設(shè)計(jì)任務(wù)和要求設(shè)計(jì)制作一個(gè)正弦信號(hào)發(fā)生器?1.1 基本要求(1)正弦波輸出頻率范圍:1khz10mhz; (2)具有頻率設(shè)置功能,頻率步進(jìn) :100hz; (3)輸出信號(hào)頻率穩(wěn)定度:優(yōu)于 10-4; (4)輸出電壓幅度 :在50負(fù)載電阻上的電壓峰-峰值 vopp1v; (5)失真度 :用示波器觀察時(shí)無明顯失真?1.2 發(fā)揮部分在完成基本要求任務(wù)的基礎(chǔ)上,增加如下功能: (1)增加輸出電壓幅度:在頻率范圍內(nèi)50負(fù)載電阻上正弦信號(hào)輸出電壓的峰-峰值vopp=6v 1v; (2)產(chǎn)生模擬幅度調(diào)制(am)

3、信號(hào) :在 1mhz10mhz 范圍內(nèi)調(diào)制度ma可在 10%100%之間程控調(diào)節(jié) ,步進(jìn)量 10%,正弦調(diào)制信號(hào)頻率為1khz,調(diào)制信號(hào)自行產(chǎn)生; (3)產(chǎn)生模擬頻率調(diào)制(fm) 信號(hào) :在 100khz10mhz頻率范圍內(nèi)產(chǎn)生10khz 最大頻偏 ,且最大頻偏可分為5khz/10khz 二級(jí)程控調(diào)節(jié) ,正弦調(diào)制信號(hào)頻率為1khz,調(diào)制信號(hào)自行產(chǎn)生 ; (4)產(chǎn)生二進(jìn)制psk?ask 信號(hào) :在 100khz 固定頻率載波進(jìn)行二進(jìn)制鍵控,二進(jìn)制基帶序列碼速率固定為10kbps,二進(jìn)制基帶序列信號(hào)自行產(chǎn)生; (5)其他 ?2 2?任務(wù)分析及方案論證2.1 正弦信號(hào)發(fā)生器的核心部分方案的論證和選擇

4、方案 1:采用傳統(tǒng)的分立元件的方法,采用 rc 或 lc 正弦振蕩電路,這種方法電路簡(jiǎn)單,成本低 ,但是這種方法難以實(shí)現(xiàn)步進(jìn)達(dá)到100hz 或者更高 ,并且難以兼顧頻率跟得上10mhz?方案 2:采用鎖相環(huán)頻率合成技術(shù),將壓控振蕩器的vco 的輸出頻率鎖定在所需的頻率之上,次方法具有很好的窄帶跟蹤性,可以較好的選擇所需的頻率,抑制雜散的分量,其基本模型如圖1所示 ?然而鎖相環(huán)本身是一個(gè)惰性的環(huán)節(jié),鎖定時(shí)間長(zhǎng) ,頻率串換時(shí)間長(zhǎng),并且模擬產(chǎn)生的正弦波,頻率和相位都難以控制?晶體振蕩鑒相器 pd低通濾波 lph壓控振蕩器 vco可變分頻品n本機(jī)頻率fv/n基準(zhǔn)頻率fr誤差電壓 u圖1 pll 的基本

5、模型方案 3:采用直接數(shù)字頻率合成技術(shù)產(chǎn)生所需的正弦信號(hào)(dds) ?本來想著用自己做一個(gè)dds信號(hào)發(fā)生器的 ,但是要達(dá)到題目的基本要求上到10mhz并且要完成發(fā)揮部分的要求,我們采用ad 公司的高集成度dds電路的器件 ad9851, 它內(nèi)部包含高速?高性能 10位 d/ a 轉(zhuǎn)換器及高速比較器 ,可作為全數(shù)字編程控制的頻率合成器來產(chǎn)生所需要的正弦波?外接精密時(shí)鐘源時(shí),ad9851 可以產(chǎn)生一個(gè)頻譜純凈?頻率和相位都可以編程控制且穩(wěn)定性很好的模擬正弦波,這個(gè)正弦波能夠直接作為基準(zhǔn)信號(hào)源?9851內(nèi)含 6 倍參考時(shí)鐘倍乘器,可避免對(duì)外部高速參考時(shí)鐘振蕩器的需要,減小了由于外部頻率源過高而可能產(chǎn)

6、生的相位噪聲;其頻帶寬 , 正常輸出工作頻率范圍為0 72mhz ; 頻率分辨率高,其創(chuàng)新式高速dds 碼可接受 32 位調(diào)頻字 ,使得它在 180mhz 系統(tǒng)時(shí)鐘下輸出頻率的精度可達(dá)0. 04hz ,完全達(dá)到了題目要求的輸出信號(hào)頻率穩(wěn)定度優(yōu)于10-4 的要求 ;相位可調(diào) , 可接收來自單片機(jī)的5 位相位控制字 ,產(chǎn)生二進(jìn)3 制psk,作出題目要求的發(fā)揮部分的第四點(diǎn)?另外我們認(rèn)真查閱ad 公司的 ad9851 的datasheet 還發(fā)現(xiàn) ,次芯片可以有使能控制端,可以用程序來控制是否輸出,這樣就可以進(jìn)行ask, 完成題目發(fā)揮部分的第五點(diǎn)?我們采用方案 3?2.2 幅度放大方案的論證和選擇由于

7、從 dds芯片 ad9851 出來的正弦波的vpp 達(dá)不到 1v,題目的基本要求部分要求vpp=1v, 且題目發(fā)揮部分要求增加輸出電壓幅度:在頻率范圍內(nèi)50負(fù)載電阻上正弦信號(hào)輸出電壓的峰 -峰值 vopp=6v 1v,由于要求產(chǎn)生的信號(hào)頻率比較高,要求從 1khz達(dá)到 10mhz, 帶寬很大 ,并且要求在此斷范圍內(nèi)都要求輸出電壓的峰-峰值vopp=6v 1v ?方案 1,采用高速運(yùn)放進(jìn)行放大,由于 dds芯片 9851產(chǎn)生的信號(hào)經(jīng)過后面的7階的橢圓濾波器之后的幅頻特性的影響,產(chǎn)生的正弦信號(hào)會(huì)隨著頻率的上升而有所下降,如果采用固定的增益的話,在整個(gè)頻率范圍內(nèi)達(dá)不到在6v1v之內(nèi) ?方案 2,由固

8、定增益運(yùn)放之后采用數(shù)字的電位器進(jìn)行程控的分壓,但是發(fā)現(xiàn)這種方法的頻率相應(yīng)跟不上 ,在低頻內(nèi)還可以使用,但是到了 mhz以上就不能工作了?方案 3:使用程控增益寬帶放大器ad603, 次芯片內(nèi)部由r-2r 梯形電阻網(wǎng)絡(luò)和固定增益放大器構(gòu)成 ,加在其梯型網(wǎng)絡(luò)輸入端的信號(hào)經(jīng)衰減后,由固定增益放大器輸出,衰減量是由加在增益控制接口的參考電壓決定;而這個(gè)參考電壓可通過單片機(jī)進(jìn)行運(yùn)算并控制d/a 芯片或者數(shù)字電位器輸出控制電壓得來,從而實(shí)現(xiàn)較精確的數(shù)控?此外 ad603 能提供由直流到30mhz 以上的工作帶寬,單級(jí)實(shí)際工作時(shí)可提供超過20db的增益 (次芯片的資料可以下載相關(guān)的 datasheet查閱

9、)?用這個(gè)方法我們可以只用一級(jí)放大,通過幅頻補(bǔ)償?shù)姆椒▉磉M(jìn)行程控放大,來達(dá)到在 1khz到10m范圍內(nèi)輸出幅度在6v1v?我們選用方案 3來實(shí)現(xiàn)發(fā)揮部分的輸出幅度要求 ?3?系統(tǒng)設(shè)計(jì)4 3.1 總體設(shè)計(jì)思路根據(jù)題目要求,我們經(jīng)過認(rèn)真分析,并且考慮各種考慮因素,我們制定出了總體的方案?如圖1所示 ,基本部分的正弦信號(hào)的產(chǎn)生我們采用ad9851 芯片作為核心,采用單片機(jī) 89s52作為主控制 ,采用 4 4的鍵盤和液晶顯示器作為人機(jī)交換的硬件,實(shí)現(xiàn)頻率的步進(jìn)可調(diào),還有任意頻率的輸入 ,采用 mc1496和可控?cái)?shù)字電位器來進(jìn)行發(fā)揮部分的模擬幅度調(diào)制(am) 信號(hào)的產(chǎn)生 ,用編程的方法用單片機(jī)來控制a

10、d9851 來進(jìn)行發(fā)揮部分的二進(jìn)制的ask和psk?其總的系統(tǒng)方框圖如圖 2所示 : 液晶顯示鍵盤輸入ad9851產(chǎn)生高精度正弦信號(hào) 實(shí)現(xiàn)頻率可調(diào)和頻率任意值輸出, 產(chǎn)生askpsk 模擬乘法器m 序列信號(hào)源mcu1khz 調(diào)制信號(hào)源am 輸出可調(diào)載波寫控制字控制圖2 系統(tǒng)方框圖3.2 系統(tǒng)的理論分析和實(shí)際設(shè)計(jì)基本要求部分3.2.1 高精度正弦波信號(hào)產(chǎn)生模塊近年間 ,直接數(shù)字頻率合成器(direct digital frequency synthesis 簡(jiǎn)稱 dds 或ddfs)得到了飛速的發(fā)展 ,它以有別于其它頻率合成方法的優(yōu)越性能和特點(diǎn)成為現(xiàn)代頻率合成技術(shù)中的姣姣者 ?具體體現(xiàn)在相對(duì)帶寬

11、寬?頻率轉(zhuǎn)換時(shí)間短?頻率分辨率高?輸出相位連續(xù) ?可產(chǎn)生寬帶5 正交信號(hào)及其他多種調(diào)制信號(hào)?可編程和全數(shù)字化?控制靈活方便等方面,并具有極高的性價(jià)比?(1) dds 基本原理及性能特點(diǎn)dds 的基本原理是利用采樣定理,通過查表法產(chǎn)生波形?dds 的結(jié)構(gòu)有很多種,其基本的電路原理可用圖3 來表示 ?d/a轉(zhuǎn)換器波形存儲(chǔ)器相位累加器低通濾波器kff圖 3 dds 的基本原理圖相位累加器由n 位加法器與n 位累加寄存器級(jí)聯(lián)構(gòu)成?每來一個(gè)時(shí)鐘脈沖fs,加法器將頻率控制字k 與累加寄存器輸出的累加相位數(shù)據(jù)相加,把相加后的結(jié)果送至累加寄存器的數(shù)據(jù)輸入端 ?累加寄存器將加法器在上一個(gè)時(shí)鐘脈沖作用后所產(chǎn)生的新

12、相位數(shù)據(jù)反饋到加法器的輸入端 ,以使加法器在下一個(gè)時(shí)鐘脈沖的作用下繼續(xù)與頻率控制字相加?這樣 ,相位累加器在時(shí)鐘作用下 ,不斷對(duì)頻率控制字進(jìn)行線性相位累加?由此可以看出,相位累加器在每一個(gè)時(shí)鐘脈沖輸入時(shí) ,把頻率控制字累加一次,相位累加器輸出的數(shù)據(jù)就是合成信號(hào)的相位,相位累加器的溢出頻率就是dds 輸出的信號(hào)頻率? 用相位累加器輸出的數(shù)據(jù)作為波形存儲(chǔ)器(rom)的相位取樣地址,這樣就可把存儲(chǔ)在波形存儲(chǔ)器內(nèi)的波形抽樣值(二進(jìn)制編碼 )經(jīng)查找表查出,完成相位到幅值轉(zhuǎn)換?波形存儲(chǔ)器的輸出送到d/a 轉(zhuǎn)換器 ,d/a轉(zhuǎn)換器將數(shù)字量形式的波形幅值轉(zhuǎn)換成所要求合成頻率的模擬量形式信號(hào)?低通濾波器用于濾除不

13、需要的取樣分量,以便輸出頻譜純凈的正弦波信號(hào)? dds 在相對(duì)帶寬 ?頻率轉(zhuǎn)換時(shí)間?高分辨力 ?相位連續(xù)性 ?正交輸出以及集成化等一系列性能指標(biāo)方面遠(yuǎn)遠(yuǎn)超過了傳統(tǒng)頻率合成技術(shù)所能達(dá)到的水平,為系統(tǒng)提供了優(yōu)于模擬信號(hào)源的性能?dds 技術(shù)的特點(diǎn) : 1)輸出頻率相對(duì)帶寬較寬輸出頻率帶寬為50%fs(理論值 )?但考慮到低通濾波器的特性和設(shè)計(jì)難度以及對(duì)輸出信號(hào)雜散的抑制 ,實(shí)際的輸出頻率帶寬仍能達(dá)到40%fs?6 2)頻率轉(zhuǎn)換時(shí)間短dds 是一個(gè)開環(huán)系統(tǒng),無任何反饋環(huán)節(jié),這種結(jié)構(gòu)使得dds 的頻率轉(zhuǎn)換時(shí)間極短?事實(shí)上 ,在dds 的頻率控制字改變之后,需經(jīng)過一個(gè)時(shí)鐘周期之后按照新的相位增量累加,才

14、能實(shí)現(xiàn)頻率的轉(zhuǎn)換 ?因此 ,頻率轉(zhuǎn)換的時(shí)間等于頻率控制字的傳輸時(shí)間,也就是一個(gè)時(shí)鐘周期的時(shí)間?時(shí)鐘頻率越高 ,轉(zhuǎn)換時(shí)間越短 ?dds 的頻率轉(zhuǎn)換時(shí)間可達(dá)納秒數(shù)量級(jí),比使用其它的頻率合成方法都要短數(shù)個(gè)數(shù)量級(jí)?3)頻率分辨率極高若時(shí)鐘 fs 的頻率不變 ,dds 的頻率分辨率就由相位累加器的位數(shù)n 決定 ?只要增加相位累加器的位數(shù) n 即可獲得任意小的頻率分辨率?目前 ,大多數(shù) dds 的分辨率在1hz 數(shù)量級(jí) ,許多小于 1mhz 甚至更小 ?4)相位變化連續(xù)改變 dds 輸出頻率 ,實(shí)際上改變的每一個(gè)時(shí)鐘周期的相位增量,相位函數(shù)的曲線是連續(xù)的,只是在改變頻率的瞬間其頻率發(fā)生了突變,因而保持了信

15、號(hào)相位的連續(xù)性?5)輸出波形的靈活性只要在 dds 內(nèi)部加上相應(yīng)控制如調(diào)頻控制fm?調(diào)相控制pm 和調(diào)幅控制am, 即可以方便靈活地實(shí)現(xiàn)調(diào)頻 ?調(diào)相和調(diào)幅功能,產(chǎn)生 fsk?psk?ask 和 msk 等信號(hào) ?另外 ,只要在 dds 的波形存儲(chǔ)器存放不同波形數(shù)據(jù),就可以實(shí)現(xiàn)各種波形輸出,如三角波 ?鋸齒波和矩形波甚至是任意的波形 ?當(dāng) dds 的波形存儲(chǔ)器分別存放正弦和余弦函數(shù)表時(shí),既可得到正交的兩路輸出?6)其他優(yōu)點(diǎn)由于 dds 中幾乎所有部件都屬于數(shù)字電路,易于集成 ,功耗低 ?體積小 ?重量輕 ?可靠性高 ,且易于程控 ,使用相當(dāng)靈活 ,因此性價(jià)比極高?我們?cè)臼怯?jì)劃自行設(shè)計(jì)一個(gè)dds

16、 信號(hào)發(fā)生器的,但是考慮到題目要求高,并且要達(dá)到的要求有比較大的難度,特別是后面發(fā)揮部分的要求,為了能在這4 天 3 夜的時(shí)間里面盡量完成題目的要求 ,我們選擇了使用專用的dds 芯片 ad9851 來作為我們?cè)O(shè)計(jì)的核心?3.2.2 ad9851 的結(jié)構(gòu)圖它主要包括相位寄存器?相位全加器 ?d/ a 轉(zhuǎn)換器 ,相位寄存器和相位全加器構(gòu)成相位累加器 ?ad9851 內(nèi)部的控制字寄存器首先寄存來自外部的頻率?相位控制字 ,相位累加器接收來自控制字寄存器的數(shù)據(jù)后決定最終輸出信號(hào)頻率和相位的范圍和精度,經(jīng)過內(nèi)部 d/ a 7 轉(zhuǎn)換器后 ,所得到的就是最終的數(shù)字合成信號(hào)?如果相位累加器的位數(shù)為n ,相位

17、控制字的值為fn ,頻率控制字的位數(shù)為m ,頻率控制字的值為fm , 系統(tǒng)外部參考時(shí)鐘頻率為8mhz ,6 倍參考時(shí)鐘倍乘器使能,那么經(jīng)過內(nèi)部 6 倍參考時(shí)鐘倍乘器后 ,可得到 ad9851 內(nèi)部工作時(shí)鐘 fc 為48mhz , 此時(shí)最終合成信號(hào)的頻率可由公式(1) 來決定 ,合成信號(hào)的相位由公式(2) 來決定 ?f = fm fc/ 2 n (1) = 2 fn / 2 m (2)圖4 ad9851 結(jié)構(gòu)框圖3.2.3 ad9851 的控制方式ad9851 的控制方式內(nèi)部有5 個(gè)輸入寄存器,儲(chǔ)存來自外部數(shù)據(jù)總線的32 位頻率控制字,5 位相位控制字,一位 6 倍參考時(shí)鐘倍乘器使能控制,一位電

18、源休眠功能(powerdown) 控制和一位邏輯 0 ?寄存器接收數(shù)據(jù)的方式有并行和串行兩種方式?8 圖5 并行方式工作時(shí)序圖并行方式如圖5所示 ,是通過 8 位數(shù)據(jù)總線 d0d7 來完成全部 40 位控制數(shù)據(jù)的輸入?復(fù)位信號(hào) reset 有效會(huì)使輸入數(shù)據(jù)地址指針指向第一個(gè)輸入寄存器,w- cl k 上升沿寫入第一組 8 位數(shù)據(jù) ,并把指針指向下一個(gè)輸入寄存器,連續(xù) 5 個(gè)w- cl k 上升沿后 ,即完成全部 40 位控制數(shù)據(jù)的輸入,此后 w- cl k 信號(hào)的邊沿?zé)o效?當(dāng)fq - ud 上升沿到來之際40 位數(shù)據(jù)會(huì)從輸入寄存器被寫入頻率和相位控制寄存器,更新 dds 的輸出頻率和相位,同時(shí)

19、把地址指針復(fù)位到第一個(gè)輸入寄存器,等待著下一組新數(shù)據(jù)的寫入?串行方式如圖 6所示 ,w- cl k 上升沿把引腳 d7 上的數(shù)據(jù)按位串行移入到輸入寄存器,40 位輸入結(jié)束后,任何 w- cl k 上升沿到來都會(huì)造成數(shù)據(jù)順序移出并導(dǎo)致原來數(shù)據(jù)無效,此時(shí) fq - ud 端的上升脈沖就可以使40 位數(shù)據(jù)更新芯片的輸出頻率和相位?圖 6 串行工作方式時(shí)序圖我們使用單片機(jī)89s52 來作為系統(tǒng)的主控制器,采用并行模式跟ad9851 的連接圖如圖7 所示9 at89s52 p2.0p2.7 p3.7 p3.6 p3.5ad9851 iout vinp refclock7階橢圓濾波器10.24mhz 時(shí)鐘

20、源d0d7高精度正弦信號(hào)輸出圖 7 ad9851 與單片機(jī)的連接框圖圖 7 中,at89s52 的引腳 p2. 0p2. 7 作為 ad9851 的并行數(shù)據(jù)輸入端口?p3.5 ?p3.6 ?p3.7 作為 i/ o 口輸出數(shù)據(jù)對(duì)ad9851 的 reset?w2cl k?fq2ud 進(jìn)行控制 ?ad9851 輸出正弦信號(hào)后 ,由于是數(shù)字合成的方法來產(chǎn)生的,所以會(huì)存在數(shù)字時(shí)鐘的干擾,所以后面采用一個(gè)7階橢圓濾波器濾波,濾波后就是一個(gè)高精度的正弦信號(hào)?這個(gè) 7階橢圓濾波器濾波的電路圖如圖8 所示 : 圖 8 7 階橢圓濾波器濾波器軟件編程控制軟件編程主要是根據(jù)ad9851 的控制字方式,把具有不同

21、功能的控制字寫入到芯片內(nèi)部?以并行輸入方式為例,對(duì) ad9851 操作的40 位控制字各位的功能如表1 所列 ?在這種方式下當(dāng)外部參考時(shí)鐘頻率為10mhz 的情況下 ,如果要滿足以下幾種技術(shù)要求: (1) 6 倍參考時(shí)鐘倍乘器工作; (2) 相位置于180 ; 10 (3) 選擇 powerup 模式 ; (4) 輸出信號(hào)頻率為10mhz ?根據(jù)表1 控制數(shù)據(jù)格式及式(1) ?(2) 給出的輸出頻率和輸出相位計(jì)算公式,可知40 位控制數(shù)據(jù)應(yīng)按如下給出: w0 = 10000001 ;w1 = 00110101 ; w2 = 01010101;w3 = 01010101; w4 = 010101

22、01 ?由以上數(shù)據(jù)并根據(jù)芯片相應(yīng)的控制方式,在 ad9851 復(fù)位后 ,由單片機(jī)給出合適的w- cl k 和 fq - ud 信號(hào) ,即可通過簡(jiǎn)單的操作完成預(yù)期的功能?3.2.4 主控制模塊本設(shè)計(jì)的主控制部分全部由一個(gè)單片機(jī)完成,采用 atmel 公司的 at89s52 單片機(jī) ,主要是考慮到其實(shí)用性?通用性 ?性價(jià)比高 ?主控制的框圖如下: at89s52 單片機(jī)鍵盤輸入ad9851 lcd 顯示11 圖 16 主控模塊方框圖(1)鍵盤輸入部分:本部分的功能是方便使用者對(duì)輸出波形的各種設(shè)置,共有 16個(gè)按鍵 ,分別為 : 數(shù)字鍵 :0 9; (10 個(gè) ) 符號(hào)鍵 :小數(shù)點(diǎn) . ; ( 1

23、個(gè)) 步進(jìn)控制鍵 :和 ;( 2 個(gè)) 輸出波形選擇鍵; ( 1 個(gè)) 模式設(shè)置選擇鍵; ( 1 個(gè)) 確認(rèn)鍵 ?( 1 個(gè)) 數(shù)字鍵0 9 及符號(hào)鍵小數(shù)點(diǎn) . 用于使用者對(duì)各種設(shè)置的數(shù)字直接輸入?例如 :當(dāng)為正弦信號(hào) (sin) 輸出時(shí) ,用于設(shè)置輸出的頻率f;當(dāng)為模擬幅度調(diào)制信號(hào)(am) 輸出時(shí) ,用于設(shè)置輸出的載波信號(hào)頻率fo;當(dāng)為模擬頻率調(diào)制信號(hào)(fm) 輸出時(shí) ,用于設(shè)置輸出的載波信號(hào)頻率fo?步進(jìn)控制鍵 :和 ?方便使用者對(duì)各種設(shè)置的步進(jìn)調(diào)節(jié)?例如 :當(dāng)為正弦信號(hào)(sin) 輸出時(shí),用于輸出頻率f 的步進(jìn)調(diào)節(jié) ,會(huì)自動(dòng)根據(jù)當(dāng)前的模式以10hz 或 100hz 的頻率進(jìn)行步進(jìn)調(diào)節(jié);當(dāng)

24、為模擬幅度調(diào)制信號(hào)(am) 輸出時(shí) ,用于輸出的載波信號(hào)頻率fo 和調(diào)制度 ma 的步進(jìn)調(diào)節(jié) ;當(dāng)為模擬頻率調(diào)制信號(hào)(fm) 輸出時(shí) ,用于設(shè)置輸出的載波信號(hào)頻率fo 的步進(jìn)調(diào)節(jié) ?輸出波形選擇鍵用于選擇所要的波形,有正弦 (sin) ?模擬幅度調(diào)制(am) ?模擬頻率調(diào)制(fm) ?二進(jìn)制相移鍵控(psk)?二進(jìn)制幅移鍵控(ask) 五種輸出波形 ?模式設(shè)置選擇鍵用于設(shè)置各種模式?例如 :當(dāng)為正弦信號(hào)(sin) 輸出時(shí) ,可有頻率為10hz/step ?100hz/step ?用戶直接輸入三種步進(jìn)頻率調(diào)節(jié)模式;當(dāng)為模擬幅度調(diào)制信號(hào)(am) 輸出時(shí) ,可有載波信號(hào)頻率fo 和調(diào)制度ma 調(diào)節(jié)兩

25、種調(diào)節(jié)模式;當(dāng)為模擬頻率調(diào)制信號(hào)(fm) 輸出時(shí) ,可有載波信號(hào)頻率fo 和最大頻偏為5khz ?10khz 三種調(diào)節(jié)模式 ?(2)at89s52單片機(jī)部分 :這部分主要接受使用者從鍵盤所輸入的各種操作,并且對(duì)其進(jìn)行處理后 ,在 lcd 上顯示 ,并根據(jù)需要對(duì)ad9851 輸出各種命令控制字,以實(shí)現(xiàn)使用者的各種操作?(3)lcd 顯示部分 :用于顯于當(dāng)前的工作情況,當(dāng)前所輸出的波形及當(dāng)前波形的各種參數(shù)?以及當(dāng)前使用者所做的處的工作模式,以方便使用 ?(4)ad9851 部分 :用于根據(jù)使用者的設(shè)置,接受從單片機(jī)處理后所送來的控制字和命令字,實(shí)現(xiàn)使用者所要的各種波形并進(jìn)行輸出?(原理在前面所詳述

26、) 本部分的程序流程圖如下所示: 12 開始系統(tǒng)初始化顯示系統(tǒng)默認(rèn)的模式掃描鍵盤波形選擇模式選擇設(shè)置ad8951 輸出選擇波形進(jìn)行各種模式設(shè)置設(shè)置ad8951 輸出設(shè)置的波形yynn圖 17 程序流程圖3.2.5 電源模塊正弦信號(hào)發(fā)生器需用到dds 芯片 ad9851 來產(chǎn)生信號(hào)源 ,用到 mc1496 來產(chǎn)生模擬幅度調(diào)制信號(hào) ,還使用到單片機(jī)來控制系統(tǒng)等?系統(tǒng)使用的直流穩(wěn)壓電源電壓需要多個(gè)值,且要求電壓輸出穩(wěn)定 ,紋波電壓小 ?為此 ,我們用 lm317 設(shè)計(jì)兩路電壓可調(diào)電源,需用到兩種不同電壓時(shí)可將電源地端相連為系統(tǒng)供電;如需正負(fù)電源供電,可將第二路穩(wěn)壓源的正端與第一路穩(wěn)壓源的地端相連 ,

27、則可輸出正負(fù)穩(wěn)定電壓?我們本系統(tǒng)中需使用到+12v,+5v 和-8v 穩(wěn)壓電源 ,因此將穩(wěn)壓電源的輸出端設(shè)定為+/-15v, 各模塊根據(jù)各自需要用7800和 7900 系列穩(wěn)壓芯片來產(chǎn)生所需的穩(wěn)壓電源?(電源模塊的原理圖如下圖所示) 13 圖 18 穩(wěn)壓電源原理圖3.3 系統(tǒng)的理論分析和實(shí)際設(shè)計(jì)發(fā)揮部分3.3.1 幅度放大模塊由 ad9851 產(chǎn)生的正弦信號(hào)經(jīng)過濾波之后,幅度只有幾百毫伏,達(dá)不到題目的vpp=1v 的要求 ,所以要進(jìn)行幅度的放大,我們采用寬帶放大器ad603 進(jìn)行幅度的放大?ad603 是在放大30 多倍的時(shí)候可以達(dá)到90m 的帶寬 ,我們用它來把a(bǔ)d9851 輸出的小于1v

28、的電壓放大到1.7v 左右 ?電路如下所示(ad603 的增益是通過1?2 管腳之間的電壓來控制的) 圖 9 幅度放大電路14 通過上面的幅度放大之后就達(dá)到了1v 以上的 vpp; 3.3.2 模擬調(diào)幅信號(hào)的產(chǎn)生模塊我們選用 mc1496 模擬乘法器來把1khz 的正弦信號(hào)與1mhz 到 10m 的載波信號(hào)進(jìn)行模擬調(diào)幅信號(hào)的產(chǎn)生?調(diào)制度ma 通過調(diào)節(jié)1khz 的正弦信號(hào)的幅度大小來調(diào)節(jié)?產(chǎn)生 1khz 正弦信號(hào)的電路我們用簡(jiǎn)單的rc 振蕩電路來實(shí)現(xiàn),其電路如下 : 圖 10 模擬調(diào)幅信號(hào)產(chǎn)生電路查 mc1496 的說明書 ,用上面的am 信號(hào)產(chǎn)生的典型電路接法,可得產(chǎn)生am 調(diào)幅波的電路如下

29、: 15 圖 11 調(diào)幅波電路3.3.3 基帶的二進(jìn)制偽隨機(jī)序列產(chǎn)生模塊發(fā)揮部分要求基帶信號(hào)自行產(chǎn)生,我們就用移位寄存器和異或門電路來產(chǎn)生偽隨機(jī)序列?偽隨機(jī)序列的原理圖1為4級(jí)偽隨機(jī)序列產(chǎn)生的邏輯框圖?給寄存器賦除全零外的任何二進(jìn)制序列作為初始值, 當(dāng)移位時(shí)鐘脈沖上升沿到來時(shí), 每級(jí)寄存器的輸出作為近鄰寄存器的輸入, 實(shí)現(xiàn)數(shù)值的右移?其中 , 第4級(jí)q 非與第 3級(jí)的輸出模二加( 異或 ) 后移入第 1級(jí)寄存器 ?產(chǎn)生一個(gè)長(zhǎng)度為15個(gè)時(shí)鐘脈沖周期的二進(jìn)制偽隨機(jī)序列?16 圖14 4 偽隨機(jī)序列產(chǎn)生的邏輯框圖對(duì)于一個(gè) n級(jí)的線性反饋移位寄存器所產(chǎn)生的二進(jìn)制序列而言, 把產(chǎn)生的最大長(zhǎng)度序列稱為m

30、序列 , 其長(zhǎng)度 n=2n-1?不同長(zhǎng)度的 m 序列由不同的線性反饋結(jié)構(gòu)決定, 可以用 n次本原多項(xiàng)式進(jìn)行表示其中 :ci 為第 i 級(jí)的反饋系數(shù), 取值為 1或0?表1為部分本原多項(xiàng)式系數(shù), 其中列出的整數(shù)表示反饋系數(shù)為 1的級(jí)數(shù) ?此外 , 產(chǎn)生相同長(zhǎng)度m 序列的反饋結(jié)構(gòu)也不是唯一的, 由所對(duì)應(yīng)的不同本原多項(xiàng)式?jīng)Q定, 其不同本原我們?cè)O(shè)計(jì)的是 4級(jí)移位寄存器 , 即n=4, 第4級(jí) q 非與第 3級(jí)的輸出模二加( 異或 ) 后移入第 1級(jí)寄存器 ?產(chǎn)生一個(gè)長(zhǎng)度為15個(gè)時(shí)鐘脈沖周期的二進(jìn)制偽隨機(jī)序列?在maxplux2 進(jìn)行仿真可得到如下的波形( 時(shí)間軸縮小后看如下) 圖15 4 級(jí)隨機(jī)序列在

31、 maxplux2 進(jìn)行仿真波形圖此偽隨機(jī)信號(hào)作為psk 和ask 的基帶信號(hào) ?3.3.4 二進(jìn)制psk 和 ask 的產(chǎn)生電路模塊17 psk?ask 是數(shù)字通信領(lǐng)域常用的調(diào)制方式?二進(jìn)制 psk 就是把二進(jìn)制基帶信號(hào)調(diào)制成帶通的相位隨基帶信號(hào)變換的正弦信號(hào)?二相相移鍵控2bsk(bpsk) 如下所示圖 12 二相相移鍵控2bsk 由上圖可知 ,當(dāng)基帶信號(hào)是0 的時(shí)候帶通的正弦信號(hào)是0 度相位 ,當(dāng)基帶信號(hào)是1 的時(shí)候帶通的正弦信號(hào)是180度相位 ,這就是二進(jìn)制的psk?我們實(shí)現(xiàn)這個(gè)電路的方法是通過單片機(jī)接收基帶的偽隨機(jī)信號(hào),判斷這信號(hào)是0 或是 1,分別使 ad9851 產(chǎn)生 0 度或

32、180 度的相位的帶通正弦信號(hào) ?由上文的表1 可以知道控制字w0 的高 5 位是產(chǎn)生相移的控制位?當(dāng)要產(chǎn)生0度相位的正弦載波信號(hào)時(shí)就向ad9851 寫 01 給 w0,當(dāng)要產(chǎn)生180 度相位的正弦載波信號(hào)時(shí)就向 ad9851 寫 81 給 w0?這就實(shí)現(xiàn)了2進(jìn)制的 psk?幅移鍵控 (ask) 如下 : 圖 13 幅移鍵控由上圖可知 ,當(dāng)基帶信號(hào)是0的時(shí)候存在帶通的正弦信號(hào),當(dāng)基帶信號(hào)是1的時(shí)候無帶通的正弦信號(hào) ,這就是二進(jìn)制的ask ?我們實(shí)現(xiàn)這個(gè)電路的方法是通過單片機(jī)接收基帶的偽隨機(jī)信號(hào) ,判斷這信號(hào)是0 或是 1,分別使 ad9851 產(chǎn)生的帶通正弦信號(hào)存在或者被旁路?由上文的表 1

33、可以知道控制字w0 的第 3 位 data2 是產(chǎn)生使輸出是否被旁路的信號(hào)控制位?當(dāng)要使正18 弦載波信號(hào)旁路時(shí)候時(shí)就向ad9851寫 05 給 w0,當(dāng)要使正弦載波信號(hào)正常輸出時(shí)就向ad9851 寫 01 給 w0?這就實(shí)現(xiàn)了2 進(jìn)制的 ask ?4?測(cè)量 結(jié)果 及 分 析4.1 正 弦 波 輸 出 頻 率 范 圍 測(cè) 試minf=999.988hz maxf=9.99987mhz 4.2 頻 率 設(shè) 置 功 能 為頻 率 步 進(jìn) :10hz 頻 率 步 進(jìn) :100hz 任 意 頻 率 設(shè) 置 : 可 以 設(shè) 置 的 頻 率 在 1khz-10mhz 之 間 任 意 為 10hz 的 頻

34、率4.3 輸 出 頻 率 穩(wěn) 定 測(cè) 試設(shè) 定 頻 率 為 f, 輸 出 頻 率 為0f, 數(shù) 據(jù) 如 下 表 所 示 ( 單 位 :hz): f 1k 2k 5k 10k 20k 50k 100k 0f999.9880 1.9999k 4.9999k 9.9999k 19.9999k 49.9996k 99.9988k f 200k 500k 1m 2m 5m 10m 0f199.998k 499.994k 999.988k 1.99998m 4.9999m 9.99987m 4.4 輸 出 電 壓 幅 度 測(cè) 試 (0f單 位 :hz;0ppv單 位 :v) 0f1k 2k 5k 10k

35、20k 50k 100k 200k 500k 1m 2m 5m 10m 0 ppv1.44 1.42 1.44 1.46 1.50 1.48 1.44 1.44 1.46 1.44 1.44 1.44 1.26 4.5 失 真 測(cè) 試使 用 htachi v-250型 示 波 器 (20mhz) 觀 察 系 統(tǒng) 的 輸 出 波 形 , 從 1khz10mhz 之 間 的輸 出 波 形 可 見 , 本 系 統(tǒng) 所 輸 出 的 波 形 穩(wěn) 定 ?平 滑 , 沒 有 明 顯 的 失 真 , 完 全 達(dá) 到 設(shè) 計(jì)要 求 ?5?系統(tǒng) 性能 總 結(jié) 及特點(diǎn)19 本系統(tǒng)以 ad9851 ?mcmc1496

36、 和 at89s52 芯片為核心部件,利用 dds 技術(shù) ,程控放大技術(shù),模擬乘法器電路,rc 振蕩回路 ,555 多諧振蕩電路,d 觸發(fā)器產(chǎn)生m 序列技術(shù)并配合一套獨(dú)特的軟件算法實(shí)現(xiàn)了輸出頻率范圍達(dá)1khz10mhz ?具有頻率設(shè)置?頻率步進(jìn) ,頻率可任意設(shè)定 ?輸出電壓幅度穩(wěn)定?失真度小?能產(chǎn)生模擬幅度調(diào)制信號(hào)?模擬頻率調(diào)制?二進(jìn)制psk&ask信號(hào)的多功能正弦信號(hào)發(fā)生器,最終使正弦信號(hào)發(fā)生器完成競(jìng)賽題目中要求的各項(xiàng)任務(wù) ,系統(tǒng)精確穩(wěn)定并具友好的人機(jī)交流界面?在系統(tǒng)設(shè)計(jì)過程中,力求硬件線路簡(jiǎn)單,充分發(fā)揮軟件編程方便靈活的特點(diǎn),來滿足系統(tǒng)設(shè)計(jì)的要求?因?yàn)闀r(shí)間有限,該系統(tǒng)還有許多值得改

37、進(jìn)的地方 :電穩(wěn)尚未能穩(wěn)定在6v1v 范圍內(nèi) ,因此 ,輸出信號(hào)放大應(yīng)用高頻運(yùn)放來實(shí)現(xiàn)?5.1 ?我 們 按 照 題 目 要 求 實(shí) 現(xiàn) 了 所 有 的 基 本 功 能 , 包 括 有 : (1)正弦波輸出頻率范圍:1khz10mhz; (2)具有頻率設(shè)置功能,頻率步進(jìn) :100hz; (3)輸出信號(hào)頻率穩(wěn)定度:優(yōu)于 10-5; (4)輸出電壓幅度 :在50負(fù)載電阻上的電壓峰-峰值 vopp 約為 1.5v 左右 ; (5)失真度 :用示波器觀察時(shí)無明顯失真?5.2 ?我 們 在 發(fā) 揮 部 分 實(shí) 現(xiàn) 了 以 下 多 種 功 能 : (1) 增加輸出電壓幅度:在頻率范圍內(nèi)50負(fù)載電阻上正弦信號(hào)輸出電壓的峰-峰值vopp=2v 左右 ; (2)產(chǎn)生模擬幅度調(diào)制(am) 信號(hào) :在 1mhz10mhz范圍內(nèi)調(diào)制度ma 可在 10%100%之間程控調(diào)節(jié) ,步進(jìn)量 10%,正弦調(diào)制信號(hào)頻率為1khz,調(diào)制信號(hào)自行產(chǎn)生; (3)產(chǎn)生模擬頻率調(diào)制(fm) 信號(hào) :在 100khz10mhz頻率范圍內(nèi)產(chǎn)生10khz 最大頻偏 ,且最大頻偏可分為5khz/10khz 二級(jí)程控調(diào)節(jié),正弦調(diào)制信號(hào)頻率為1khz,調(diào)制信號(hào)自行產(chǎn)生 ; (4)產(chǎn)生二進(jìn)制psk?ask 信號(hào) :在 100khz 固定頻率載波進(jìn)行二進(jìn)制

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論