封裝工藝流程_第1頁(yè)
封裝工藝流程_第2頁(yè)
封裝工藝流程_第3頁(yè)
封裝工藝流程_第4頁(yè)
封裝工藝流程_第5頁(yè)
已閱讀5頁(yè),還剩117頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、2.1.1 2.1.1 為什么要學(xué)習(xí)封裝工藝流程為什么要學(xué)習(xí)封裝工藝流程 熟悉封裝工藝流程是認(rèn)識(shí)封裝技術(shù)的前提,是進(jìn)行封裝設(shè)計(jì)、制造和優(yōu)化的基礎(chǔ)。芯片封裝和芯片制造不在同一工廠(chǎng)完成芯片封裝和芯片制造不在同一工廠(chǎng)完成 它們可能在同一工廠(chǎng)不同的生產(chǎn)區(qū)、或不同的地區(qū),甚至在不同的國(guó)家。許多工廠(chǎng)將生產(chǎn)好的芯片送到幾千公里以外的地方去做封裝。芯片一般在做成集成電路的硅片上進(jìn)行測(cè)試。在測(cè)試中,先將有缺陷的芯片打上記號(hào)(打一個(gè)黑色墨點(diǎn)),然后在自動(dòng)拾片機(jī)上分辨出合格的芯片。第二章 封裝工藝流程2.1.2 封裝工藝流程概況 流程一般可以分成兩個(gè)部分:在用塑料封裝之前的工序稱(chēng)為前段工序前段工序,在成型之后的操作

2、稱(chēng)為后段工序后段工序。成型工序是在凈化環(huán)境中進(jìn)行的,由于轉(zhuǎn)移成型操作中機(jī)械水壓機(jī)和預(yù)成型品中的粉塵達(dá)到1000級(jí)以上(空氣中0.3m粉塵達(dá)1000個(gè)/m3以上)。 現(xiàn)在大部分使用的封裝材料都是高分子聚合物,即所謂的塑料封裝塑料封裝。上圖所示的塑料成型技術(shù)有許多種,包括轉(zhuǎn)移成型技術(shù)、噴射成型技術(shù)、預(yù)成型技術(shù),其中轉(zhuǎn)移成型技術(shù)使用最為普遍。第二章 封裝工藝流程2.2 2.2 芯片切割芯片切割 2.2.1、為什么要減薄 半導(dǎo)體集成電路用硅片4吋厚度為520m,6吋厚度為670m。這樣就對(duì)芯片的切分帶來(lái)困難。因此電路層制作完成后,需要對(duì)硅片背面進(jìn)行減薄,使其達(dá)到所需要的厚度,然后再進(jìn)行劃片加工,形成一

3、個(gè)個(gè)減薄的裸芯片。第二章 封裝工藝流程2.2.22.2.2減薄工藝減薄工藝 硅片背面減技術(shù)主要有: 磨削、研磨、化學(xué)拋光 干式拋光、電化學(xué)腐蝕、濕法腐蝕 等離子增強(qiáng)化學(xué)腐蝕、常壓等離子腐蝕等第二章 封裝工藝流程 減薄厚硅片粘在一個(gè)帶有金屬環(huán)或塑料框架的薄膜(常稱(chēng)為藍(lán)膜)上,送到劃片機(jī)進(jìn)行劃片?,F(xiàn)在劃片機(jī)都是自動(dòng)的,機(jī)器上配備激光或金鋼石的劃片刀具。切割分部分劃片(不劃到底,留有殘留厚度)和完全分割劃片。對(duì)于部分劃片,用頂針頂力使芯片完全分離。劃片時(shí),邊緣或多或少會(huì)存在微裂紋和凹槽這取決于刀具的刃度。這樣會(huì)嚴(yán)重影響芯片的碎裂強(qiáng)度。2.2.22.2.2減薄工藝減薄工藝 先劃片后減薄和減薄劃片兩種方

4、法第二章 封裝工藝流程 DBG(dicing before grinding) 在背面磨削之前,將硅片的正面切割出一定深度的切口,然后再進(jìn)行磨削。 DBT(dicing by thinning) 在減薄之前先用機(jī)械的或化學(xué)的方法切割出一定深度的切口,然后用磨削方法減薄到一定厚度后,采用常壓等離子腐蝕技術(shù)去除掉剩余加工量。 這兩種方法都很好地避免了或減少了減薄引起的硅片翹曲以及劃片引起的邊緣損害,大大增強(qiáng)了芯片的抗碎能力。2.3 芯片貼裝 芯片貼裝,也稱(chēng)芯片粘貼,是將芯片固定于封裝基板或引腳架芯片的承載座上的工藝過(guò)程。 第二章 封裝工藝流程 共晶粘貼法 焊接粘貼法 導(dǎo)電膠粘貼法 玻璃膠粘貼法貼裝

5、方式貼裝方式2.3.1共晶粘貼法 共晶反應(yīng)共晶反應(yīng) 指在一定的溫度下,一定成分的液體同時(shí)結(jié)晶出兩種一定成分的固相反應(yīng)。例如,含碳量為2.11%-6.69%的鐵碳合金,在1148攝氏度的恆溫下發(fā)生共晶反應(yīng),產(chǎn)物是奧氏體(固態(tài))和滲碳體(固態(tài))的機(jī)械混合物,稱(chēng)為“萊氏體”。 一般工藝方法一般工藝方法 陶瓷基板芯片座上鍍金膜-將芯片放置在芯片座上-熱氮?dú)夥罩校ǚ姥趸┘訜岵⑹拐迟N表面產(chǎn)生摩擦(去除粘貼表面氧化層)-約425時(shí)出現(xiàn)金-硅反應(yīng)液面,液面移動(dòng)時(shí),硅逐漸擴(kuò)散至金中而形成緊密結(jié)合。第二章 封裝工藝流程2.3.1共晶粘貼法 預(yù)型片法預(yù)型片法,此方法適用于較大面積的芯片粘貼。優(yōu)點(diǎn)是可以降低芯片粘貼

6、時(shí)孔隙平整度不佳而造成的粘貼不完全的影響。第二章 封裝工藝流程2.3.2 2.3.2 焊接粘貼法焊接粘貼法 焊接粘貼法是利用合金反應(yīng)進(jìn)行芯片粘貼的方法。優(yōu)點(diǎn)是熱傳導(dǎo)性好。一般工藝方法一般工藝方法 將芯片背面淀積一定厚度的Au或Ni,同時(shí)在焊盤(pán)上淀積Au-Pd-Ag和Cu的金屬層。然后利用合金焊料將芯片焊接在焊盤(pán)上。焊接工藝應(yīng)在熱氮?dú)饣蚰芊乐寡趸臍夥罩羞M(jìn)行。 第二章 封裝工藝流程合金焊料合金焊料硬質(zhì)焊料硬質(zhì)焊料軟質(zhì)焊料軟質(zhì)焊料2.3.3 導(dǎo)電膠粘貼法 導(dǎo)電膠是銀粉與高分子聚合物(環(huán)氧樹(shù)脂)的混合物。銀粉起導(dǎo)電作用,而環(huán)氧樹(shù)脂起粘接作用。第二章 封裝工藝流程導(dǎo)電膠有三種配方:導(dǎo)電膠有三種配方:(

7、1)各向同性材料,能沿所有方向?qū)щ?。?)導(dǎo)電硅橡膠,能起到使器件與環(huán)境隔絕,防止水、汽對(duì)芯片的影響,同時(shí)還可以屏蔽電磁干擾。(3)各向異性導(dǎo)電聚合物,電流只能在一個(gè)方向流動(dòng)。在倒裝芯片封裝中應(yīng)用較多。無(wú)應(yīng)力影響。三種導(dǎo)電膠的特點(diǎn)是:化學(xué)接合、具有導(dǎo)電功能。三種導(dǎo)電膠的特點(diǎn)是:化學(xué)接合、具有導(dǎo)電功能。導(dǎo)電膠貼裝工藝第二章 封裝工藝流程膏狀導(dǎo)電膠:膏狀導(dǎo)電膠: 用針筒或注射器將粘貼劑涂布到芯片焊盤(pán)上(不能太靠近芯片表面,否則會(huì)引起銀遷移現(xiàn)象),然后用自動(dòng)拾片機(jī)(機(jī)械手)將芯片精確地放置到焊盤(pán)的粘貼劑上,在一定溫度下固化處理(150 1小時(shí)或186半小時(shí))。固體薄膜:固體薄膜: 將其切割成合適的大

8、小放置于芯片與基座之間,然后再進(jìn)行熱壓接合。采用固體薄膜導(dǎo)電膠能自動(dòng)化大規(guī)模生產(chǎn)。 導(dǎo)電膠粘貼法的缺點(diǎn)是熱穩(wěn)定性不好,高溫下會(huì)引導(dǎo)電膠粘貼法的缺點(diǎn)是熱穩(wěn)定性不好,高溫下會(huì)引起粘接可靠度下降,因此不適合于高可靠度封裝。起粘接可靠度下降,因此不適合于高可靠度封裝。玻璃膠粘貼法 與導(dǎo)電膠類(lèi)似,玻璃膠也屬于厚膜導(dǎo)體材料(后面我們將介紹)。不過(guò)起粘接作用的是低溫玻璃粉。它是起導(dǎo)電作用的金屬粉(Ag、Ag-Pd、Au、Cu等)與低溫玻璃粉和有機(jī)溶劑混合,制成膏狀。 第二章 封裝工藝流程 在芯片粘貼時(shí),用蓋印、絲網(wǎng)印刷、點(diǎn)膠等方法將膠涂布于基板的芯片座中,再將芯片置放在玻璃膠之上,將基板加溫到玻璃熔融溫度以

9、上即可完成粘貼。由于完成粘貼的溫度要比導(dǎo)電膠高得多,所以它只適用于陶瓷封裝中。在降溫時(shí)要控制降溫速度,否則會(huì)造成應(yīng)力破壞,影響可靠度。2.4 2.4 芯片互連芯片互連 芯片互連是將芯片焊區(qū)與電子封裝外殼的I/O引線(xiàn)或基板上的金屬焊區(qū)相連接。 芯片互連常見(jiàn)的方法:芯片互連常見(jiàn)的方法:第二章 封裝工藝流程打線(xiàn)鍵合(打線(xiàn)鍵合(WB wire bonding)倒裝芯片鍵合倒裝芯片鍵合(FCB flip chip bonding,C4)載帶自動(dòng)鍵合(載帶自動(dòng)鍵合(TAB tape automate bonding) 這三種連接技術(shù)對(duì)于不同的封裝形式和集成電路芯片集成度的限制各有不同的應(yīng)用范圍。 打線(xiàn)鍵合

10、打線(xiàn)鍵合適用引腳數(shù)為3-257;載帶自動(dòng)鍵合的適用引腳數(shù)為12-600;倒裝芯片鍵合適用的引腳數(shù)為6-16000??梢?jiàn)C4適合于高密度組裝。2.4.1 打線(xiàn)鍵合技術(shù)第二章 封裝工藝流程打線(xiàn)鍵合技術(shù)打線(xiàn)鍵合技術(shù)超聲波鍵合(超聲波鍵合(Ultrasonic Bonding ,U/S bonding)熱壓鍵合(熱壓鍵合(Thermocompression Bonding T/C bonding)熱超聲波鍵合(熱超聲波鍵合(Thermosonic Bonding,T/S bonding)2.4.1 打線(xiàn)鍵合技術(shù)介紹(1)超聲波鍵合第二章 封裝工藝流程優(yōu)點(diǎn)優(yōu)點(diǎn): 鍵合點(diǎn)尺寸小,回繞高度低,適合于鍵合點(diǎn)間

11、距小、密度高的芯片連接。缺點(diǎn):缺點(diǎn): 所有的連線(xiàn)必須沿回繞方向排列(這不可能),因此在連線(xiàn)過(guò)程中要不斷改變芯片與封裝基板的位置再進(jìn)行第2根引線(xiàn)的鍵合。從而限制了打線(xiàn)速度。2.4.1 打線(xiàn)鍵合技術(shù)介紹(2)熱壓鍵合第二章 封裝工藝流程(3)熱超聲波鍵合 熱超聲波鍵合熱超聲波鍵合是熱壓鍵合與超聲波鍵合的混合技術(shù)。在工藝過(guò)程中,先在金屬線(xiàn)末端成球,再使用超聲波脈沖進(jìn)行金屬線(xiàn)與金屬接墊之間的接合。 此過(guò)程中接合工具不被加熱,僅給接合的基板加熱(溫度維持在100-150)。其目的是抑制鍵合界面的金屬間化合物(類(lèi)似于化學(xué)鍵,金屬原子的價(jià)電子形成鍵)的成長(zhǎng),和降低基板高分子材料因高溫產(chǎn)生形變。第二章 封裝工

12、藝流程打線(xiàn)鍵合的線(xiàn)材與可靠度(1)合金線(xiàn)材鋁合金線(xiàn)鋁合金線(xiàn) 因純鋁線(xiàn)材太軟很少使用。鋁合金線(xiàn)標(biāo)準(zhǔn)線(xiàn)材是鋁-1%硅。令你一種是含0.5-1%鎂的鋁導(dǎo)線(xiàn)。其優(yōu)點(diǎn)是抗疲勞性?xún)?yōu)良,生成金屬間化合物的影響小。 金線(xiàn)金線(xiàn) 純金線(xiàn)的純度一般用4個(gè)9。為增加機(jī)械強(qiáng)度,往往在金中添加5-10ppm 鈹或銅。金線(xiàn)抗氧化性好,常由于超聲波焊接中。第二章 封裝工藝流程(2)影響打線(xiàn)鍵合可靠度因素第二章 封裝工藝流程封膠和粘貼材料封膠和粘貼材料與線(xiàn)材的反應(yīng)與線(xiàn)材的反應(yīng)金屬間化合物的形成金屬間化合物的形成可靠度常用拉力試驗(yàn)可靠度常用拉力試驗(yàn)和鍵合點(diǎn)的剪切試驗(yàn)和鍵合點(diǎn)的剪切試驗(yàn)測(cè)試檢查測(cè)試檢查可靠度因素2.4.2 載帶自動(dòng)

13、鍵合技術(shù)第二章 封裝工藝流程 載帶自動(dòng)健合技術(shù)是在類(lèi)似于135膠片的柔性載帶粘結(jié)金屬薄片,(像電影膠片一樣卷在一帶卷上,載帶寬度8-70mm。在其特定的位置上開(kāi)出一個(gè)窗口。窗口為蝕刻出一定的印刷線(xiàn)路圖形的金屬箔片(0.035mm厚)。 引線(xiàn)排從窗口伸出,并與載帶相連,載帶邊上有供傳輸帶用的齒輪孔。 當(dāng)載帶卷轉(zhuǎn)動(dòng)時(shí),載帶依靠齒孔往前運(yùn)動(dòng),使帶上的窗口精確對(duì)準(zhǔn)帶下的芯片。再利用熱壓模將導(dǎo)線(xiàn)排精確鍵合到芯片上??梢?jiàn)TAB技術(shù)與一般的壓絲引線(xiàn)技術(shù)不同。 后者的特點(diǎn)是將一根、一根的引線(xiàn)先后分立的快速的鍵合到搭接片上。TAB技術(shù)中內(nèi)引線(xiàn)鍵合后還要作后道工序,包括電學(xué)測(cè)試、通電老化,外引線(xiàn)鍵合、切下,最后進(jìn)

14、行封裝工藝(。這些都在載帶上完成。過(guò)去,TAB技術(shù)不受重視的原因:(1)TAB技術(shù)初始投資大;(2)開(kāi)始時(shí)TAB工藝設(shè)備不易買(mǎi)到,而傳統(tǒng)的引線(xiàn)工藝已得到充分的發(fā)展,且其生產(chǎn)設(shè)備也容易買(mǎi)到;(3)有關(guān)TAB技術(shù)資料和信息少。但是隨著芯片信息容量及隨之而來(lái)的引腳數(shù)的增加,傳統(tǒng)的分立引線(xiàn)工藝顯得力不從心。為降低引線(xiàn)成本的需要,TAB技術(shù)越來(lái)越受到人們的青睞,促使許多半導(dǎo)體廠(chǎng)家積極開(kāi)發(fā)研究。第二章 封裝工藝流程TAB技術(shù)較之常用的引線(xiàn)工藝的優(yōu)點(diǎn):(1)對(duì)高速電路來(lái)說(shuō),常規(guī)的引線(xiàn)使用圓形導(dǎo)線(xiàn),而且引線(xiàn)較長(zhǎng),往往引線(xiàn)中高頻電流的趨膚效應(yīng)使電感增加,造成信號(hào)傳遞延遲和畸變,這是十分不利的。TAB技術(shù)采用矩形

15、截面的引線(xiàn),因而電感小,這是它的優(yōu)點(diǎn)。(2)傳統(tǒng)引線(xiàn)工藝要求鍵合面積4mil2,而TAB工藝的內(nèi)引線(xiàn)鍵合面積僅為2mil2這樣就可以增加I/O密度,適應(yīng)超級(jí)計(jì)算機(jī)與微處理器的更新?lián)Q代。(3)TAB技術(shù)中使用銅線(xiàn)而不使用鋁線(xiàn),從而改善器件的熱耗散性能。(4)在芯片最終封裝前可進(jìn)行預(yù)測(cè)試和通電老化。這樣可剔除壞芯片,不使它流入下一道工序,從而節(jié)省了成本,提高了可靠性。(5)TAB工藝中引線(xiàn)的鍵合平面低,使器件薄化。第二章 封裝工藝流程2.4.2 載帶自動(dòng)鍵合技術(shù)TABTAB技術(shù)的關(guān)鍵材料技術(shù)的關(guān)鍵材料 基帶材料:基帶材料:要求耐高溫,與金屬箔粘貼性好,熱匹配性好,抗化學(xué)腐蝕性強(qiáng),機(jī)械強(qiáng)度高,吸水率

16、低。例如,聚酰亞胺(PI)、聚乙烯對(duì)本二甲酸脂(PET)和苯并環(huán)丁烯(BCB) TABTAB金屬材料:金屬材料:要求導(dǎo)電性能好,強(qiáng)度高,延展性、表面平滑性良好,與各種基帶粘貼牢固,不易剝離,易于用光刻法制作出精細(xì)復(fù)雜的圖形,易電鍍Au、Ni、Pb/Sn焊接材料,例如,Al、Cu。 芯片凸點(diǎn)金屬材料:芯片凸點(diǎn)金屬材料:一般包括金屬Au、Cu、Au/Sn、Pd/Sn。第二章 封裝工藝流程2.4.2 載帶自動(dòng)鍵合技術(shù)TABTAB的關(guān)鍵技術(shù)的關(guān)鍵技術(shù) 芯片凸點(diǎn)制作技術(shù) TAB載帶制作技術(shù) 載帶引線(xiàn)與芯片凸點(diǎn)的內(nèi)引線(xiàn)焊接和載帶外引線(xiàn)焊接技術(shù)第二章 封裝工藝流程2.4.2 載帶自動(dòng)鍵合技術(shù) TAB TAB

17、的關(guān)鍵技術(shù)的關(guān)鍵技術(shù)-芯片凸點(diǎn)制作技術(shù)第二章 封裝工藝流程 IC芯片制作完成后其表面均鍍有鈍化保護(hù)層,厚度高于電路的鍵合點(diǎn),因此必須在IC芯片的鍵合點(diǎn)上或TAB載帶的內(nèi)引線(xiàn)前端先長(zhǎng)成鍵合凸塊才能進(jìn)行后續(xù)的鍵合,通常TAB載帶技術(shù)也據(jù)此區(qū)分為凸塊化載帶與凸塊化芯片TAB兩大類(lèi)。 地狀金屬凸塊;單層載帶可配合銅箔引腳的刻蝕制成凸塊,在雙層與三層載帶上,因?yàn)槲g刻的工藝容易致導(dǎo)帶變形,而使未來(lái)鍵合發(fā)生對(duì)位錯(cuò)誤,因此雙層與三層載帶較少應(yīng)用于凸塊載帶TAB的鍵合。 凸塊式芯片TAB,先將金屬凸塊長(zhǎng)成于IC芯片的鋁鍵合點(diǎn)上,再與載帶的內(nèi)引腳鍵合。預(yù)先長(zhǎng)成的凸塊除了提供引腳所需要的金屬化條件外,可避免引腳與I

18、C芯片間可能發(fā)生短路,但制作長(zhǎng)有凸塊的芯片是TAN工藝最大的困難。2.4.2 載帶自動(dòng)鍵合技術(shù) 芯片凸點(diǎn)制作技術(shù) 凸點(diǎn)因形狀不同可分為兩種第二章 封裝工藝流程金凸塊制作的傳統(tǒng)工藝金凸塊制作的傳統(tǒng)工藝金凸塊制作的傳統(tǒng)工藝: 第一步第一步,對(duì)芯片進(jìn)行清潔處理 第二步第二步,通過(guò)真空濺散的方法,在芯片鍵合的上表面形成粘著層和阻擋層。粘著層提供IC芯片上的鋁鍵合點(diǎn)與凸塊間良好的鍵合力與低的接觸電阻特性。常用的材料是Ti、Cr、和Al,這幾種金屬的與鋁和氧化硅的粘著性很好。擴(kuò)散阻擋層的作用是阻止芯片上的鋁與凸塊材料之間的擴(kuò)散反應(yīng)而形成金屬間化合物。 金屬層做好后、接著涂25微米后的光刻膠,然后用電鍍的方

19、法制作金屬凸塊。凸塊制作完成后在其頂面電鍍一層25微米的金(凸塊金屬不是金的情況),目的是起抗氧化作用。第二章 封裝工藝流程第二章 封裝工藝流程金凸塊制作的傳統(tǒng)工藝金凸塊制作的傳統(tǒng)工藝凸塊轉(zhuǎn)移技術(shù)凸塊轉(zhuǎn)移技術(shù) 一般的凸塊制作工藝流程,可以看出,它的制作工藝復(fù)雜,技術(shù)難度大,成本高。因此改進(jìn)凸塊制作技術(shù)成為一項(xiàng)研究的熱門(mén)課題。 日本Matsushita公司開(kāi)發(fā)了凸塊轉(zhuǎn)移技術(shù)。 這種技術(shù)分2次鍵合: 第1次是將在玻璃基板上做成的凸塊,轉(zhuǎn)移到載帶內(nèi)引腳前端與芯片鍵合點(diǎn)相對(duì)應(yīng)的位置。 第2次鍵合。在引腳前端有凸點(diǎn)的載帶由專(zhuān)門(mén)的制造商提供,這樣就避免了在芯片焊區(qū)制作凸點(diǎn)的麻煩,降低了生產(chǎn)成本。第二章 封

20、裝工藝流程第二章 封裝工藝流程凸塊轉(zhuǎn)移技術(shù)凸塊轉(zhuǎn)移技術(shù)載帶引線(xiàn)與芯片凸點(diǎn)的內(nèi)引線(xiàn)焊接和載帶外引線(xiàn)焊接技術(shù)芯片上的凸點(diǎn)和載帶制作完成后,接下來(lái)要進(jìn)行引線(xiàn)的焊接,這又分內(nèi)引線(xiàn)焊接和外引線(xiàn)焊接。內(nèi)引線(xiàn)焊接是引線(xiàn)與芯片焊接,外引線(xiàn)焊接是將引線(xiàn)焊接到外殼或基板焊區(qū)。雙層結(jié)構(gòu)載帶雙層結(jié)構(gòu)載帶單層結(jié)構(gòu)載帶單層結(jié)構(gòu)載帶三層結(jié)構(gòu)載帶三層結(jié)構(gòu)載帶TABTAB載帶制作技術(shù)載帶制作技術(shù)第二章 封裝工藝流程2.4.2 載帶自動(dòng)鍵合技術(shù)第二章 封裝工藝流程(1)單層結(jié)構(gòu)載帶 這僅為一銅帶,其上腐蝕出引線(xiàn)圖案以及支撐結(jié)構(gòu)。方法是將光刻膠涂在銅帶的兩側(cè)。將要刻蝕掉的部分曝光,腐蝕后留下引線(xiàn)圖案。帶上可事先制備出凸點(diǎn),這種情況

21、下可選用不帶凸點(diǎn)的芯片。再將載帶上的引線(xiàn)排與芯片的I/O鍵合點(diǎn)鍵合。單層結(jié)構(gòu)的缺點(diǎn)是全部引線(xiàn)與金屬支撐架相連接,妨礙了帶上器件的測(cè)試檢驗(yàn)和通電老化。(2)雙層結(jié)構(gòu)載帶 雙層結(jié)構(gòu)載帶可用兩種方法制作。用液體聚酰亞胺涂敷銅帶(1.4mil厚),然后再干燥處理。聚酰亞胺的厚度為2-3mil。將聚酰亞胺進(jìn)行光刻,然后窗口和齒孔用KOH或NaOH腐蝕出來(lái),再用FeCl3銅標(biāo)腐蝕液將銅帶上所需圖形腐蝕出來(lái)。(3)三層結(jié)構(gòu)載帶 所用載帶厚度為5mil,比雙層帶厚,因而更穩(wěn)定。它的制作方法是:用粘接劑涂敷12或24英寸的Kapton帶,再將帶條分裂成TAB產(chǎn)品所需要的合適寬度。窗口和齒孔用硬工具沖制而成。然后

22、將銅帶與Kapton帶進(jìn)行疊合處理,使銅帶壓合在齒孔機(jī)的Kapton。最后光刻銅帶,形成引線(xiàn)排。三層結(jié)構(gòu)的優(yōu)點(diǎn)是膠帶和銅之間有很高的結(jié)合強(qiáng)度,且絕緣性能好,吸濕性低。TAB內(nèi)引線(xiàn)焊接技術(shù)將載帶引線(xiàn)圖形指端與芯片焊接到一起的方法主要有熱壓焊合再流焊。當(dāng)芯片凸點(diǎn)是 Au、Au/Ni、Cu/Au,而載帶Cu箔引線(xiàn)也是鍍這類(lèi)凸點(diǎn)金屬時(shí),使用熱壓焊;而載帶Cu箔引線(xiàn)鍍層為Pb/Sn時(shí),或者芯片凸點(diǎn)具有Pb/Sn,而載帶Cu箔引線(xiàn)是上述硬金屬時(shí)就要用熱壓再流焊。完全使用熱壓焊焊接溫度高,熱壓再流焊的溫度低。這兩種焊接方法都是使用自動(dòng)或半就自動(dòng)化的引線(xiàn)焊接機(jī)進(jìn)行多點(diǎn)一次焊接的。主要工藝操作是對(duì)位、焊接、抬起

23、、芯片對(duì)位、焊接、抬起、芯片傳送4部分。第二章 封裝工藝流程2.4.2 載帶自動(dòng)鍵合技術(shù) 內(nèi)引線(xiàn)焊接第二章 封裝工藝流程TAB內(nèi)引線(xiàn)焊接技術(shù)內(nèi)引線(xiàn)焊接技術(shù),焊接程序焊接程序焊接工藝條件: 焊接溫度T=450-500;焊接壓力 P=50g;焊接時(shí)間t=0.5-1秒。此外,焊頭的平行度、平整度要好,焊接時(shí)的傾斜度要合適,否則會(huì)影響焊接效果。凸點(diǎn)的高度和載帶引線(xiàn)圖形的厚度的一致性也會(huì)影響焊接質(zhì)量。 完成內(nèi)引腳鍵合與電性能測(cè)試后,芯片與內(nèi)引腳面或整個(gè)IC芯片必須再涂上一層高分子膠材料保護(hù)引腳、凸塊與芯片,以避免外界的壓力、震動(dòng)、水汽等因素造成破壞。封膠的材料 一般為環(huán)氧樹(shù)脂(Epoxy)和硅橡膠(Si

24、licone)。環(huán)氧樹(shù)脂用蓋印或點(diǎn)膠的方法涂布,可覆蓋整個(gè)芯片或僅涂布完成內(nèi)引腳鍵合的芯片表面。在烘烤硬化時(shí)應(yīng)注意加溫條件,避免氣泡和預(yù)應(yīng)力的產(chǎn)生。第二章 封裝工藝流程2.4.2 載帶自動(dòng)鍵合技術(shù)外引線(xiàn)焊接技術(shù)第二章 封裝工藝流程外引線(xiàn)焊接技術(shù)外引線(xiàn)焊接技術(shù) 經(jīng)過(guò)老化、篩選、測(cè)試的載帶芯片可以用于各種集成電路。 對(duì)于微電子封裝的引線(xiàn)框架或在生產(chǎn)線(xiàn)上連接安裝載帶芯片的電子產(chǎn)品,可使用外引線(xiàn)壓焊機(jī)將卷繞的載帶芯片連接進(jìn)行外引線(xiàn)焊接,焊接時(shí)要及時(shí)應(yīng)用切斷裝置,將每個(gè)焊點(diǎn)外沿處將引線(xiàn)和聚酰亞胺(PI)支撐框架以外的部分切斷并焊接。2.4.3 倒裝芯片鍵合技術(shù) 倒裝焊(倒裝焊(FCBFCB)芯片)芯片,

25、放置面朝下。借助于凸點(diǎn)與基板焊區(qū)直接焊接。這樣就省略了互連線(xiàn),由互連線(xiàn)產(chǎn)生的雜散電容和電感要比WB和TAB小得多,因此適合于高頻、高速電路和高密度組裝的應(yīng)用。 倒裝焊的典型例子是IBM公司的C4(Controlled-Collapse Chip Connection,可控塌陷芯片連接)技術(shù)。第二章 封裝工藝流程C4技術(shù)的凸緣制備主要通過(guò)電子束蒸發(fā)、濺散等工藝,將UBM(Under Bump Metallurgy)或BLM(Ball Limiting Metallurgy)沉積在芯片的鋁焊盤(pán)上。UBM一般有三層,分別為鉻/鉻-銅(50%-50%)/銅。第二章 封裝工藝流程凸點(diǎn)芯片的類(lèi)型。在多層化

26、金屬上可用多種方法形成不同尺寸和高度要求的凸點(diǎn)金屬,其分類(lèi)可按凸點(diǎn)材料分類(lèi),也可按凸點(diǎn)結(jié)構(gòu)形狀進(jìn)行分類(lèi)。按凸點(diǎn)材料分類(lèi):Au凸點(diǎn)、Ni/Sn凸點(diǎn)、Cu凸點(diǎn)、Cu/Pb-Sn凸點(diǎn)In凸點(diǎn)Pb/Sn凸點(diǎn)(C4)按凸點(diǎn)結(jié)構(gòu)分類(lèi):周邊形、面陣形按凸點(diǎn)形狀分類(lèi):蘑菇狀、直狀、球形、疊層第二章 封裝工藝流程第二章 封裝工藝流程凸點(diǎn)芯片的制作工藝凸點(diǎn)芯片的制作工藝蒸發(fā)蒸發(fā)/濺散凸點(diǎn)制作法濺散凸點(diǎn)制作法電鍍凸點(diǎn)制作法電鍍凸點(diǎn)制作法置球及模板印刷制置球及模板印刷制作焊料凸點(diǎn)作焊料凸點(diǎn)蒸發(fā)/濺散凸點(diǎn)制作法 這是早期常用的方法,因?yàn)樗cIC工藝兼容,工藝簡(jiǎn)單成熟。多層金屬和凸點(diǎn)金屬可以一次完成。 工藝流程:工藝流程

27、: 制作掩模板-Si圓片安裝制作好的掩模板-Si圓片光刻掩???蒸發(fā)/濺射各金屬層-蒸發(fā)/濺射凸點(diǎn)金屬-去掩模板、去除光刻膠,剝離多余的金屬層-形成凸點(diǎn)。 缺點(diǎn)缺點(diǎn): : 是形成的凸點(diǎn)大且低。如果形成一定高度的凸點(diǎn)需要的時(shí)間長(zhǎng),真空濺散設(shè)備應(yīng)是多源多靶的,價(jià)格貴。成本高效率低,不適合大批量生產(chǎn)。第二章 封裝工藝流程電鍍凸點(diǎn)制作法 這是目前國(guó)際上普遍采用的方法,工藝成熟。加工過(guò)程少,工藝簡(jiǎn)單易行,適合大批量制作各種類(lèi)型的凸點(diǎn)?;竟ば颍夯竟ば颍?Si3N4鈍化,用激光燒毀不合格的芯片- 蒸發(fā)/濺散Ti-W-Au-涂光刻膠-光刻電極窗口-腐蝕大面積Au-W-Ti-去膠,保留窗口多層電極-閃濺金屬

28、層(Au)-貼厚光刻膠(膜)-套刻出凸點(diǎn)窗口-電鍍Au凸點(diǎn)-去除厚膠(膜)-腐蝕閃濺Au。第二章 封裝工藝流程置球及模板印刷制作焊料凸點(diǎn)工藝流程工藝流程 鈍化好的圓片- 覆蓋并固定掩模板- 置Pb-Sn焊料球- H2或N2保護(hù)氣氛下焊料球再流- 焊料冷卻收球- 取下掩模板- Pb-Sn焊料芯片凸點(diǎn)形成-第二章 封裝工藝流程凸點(diǎn)芯片的FCB技術(shù) 制作的凸點(diǎn)芯片既可用于厚膜陶瓷基板上進(jìn)行FCB又可在薄膜陶瓷基板上進(jìn)行FCB,還可在PWB上直接將芯片F(xiàn)CB。這些基板既可以是單層的,也可以是多層的,而凸點(diǎn)芯片要倒裝在基板上層的金屬化焊區(qū)上。(1)FCB互連基板的金屬焊區(qū)制作要使FCB芯片與各類(lèi)基板互連

29、達(dá)到一定的可靠性要求,關(guān)鍵是安裝互連FCB芯片的基板頂層金屬焊區(qū)要與芯片凸點(diǎn)一一對(duì)應(yīng),與凸點(diǎn)金屬具有良好的壓焊或焊料浸潤(rùn)特性。(2)FCB的工藝方法FCB的工藝方法主要有以下幾種,即熱壓熱壓FCBFCB法法、再流流FCBFCB法法(C4)(C4)、環(huán)氧樹(shù)脂光固化環(huán)氧樹(shù)脂光固化FCBFCB法法和各向異性導(dǎo)電膠粘接各向異性導(dǎo)電膠粘接FCBFCB法法。第二章 封裝工藝流程熱壓熱壓FCBFCB法法 使用倒裝焊接機(jī)完成對(duì)各種凸點(diǎn),如Au凸點(diǎn)、Ni-Al凸點(diǎn)、Cu-Pb-Sn凸點(diǎn)的FCB。 倒裝焊接機(jī)是由光學(xué)攝像對(duì)位系統(tǒng)、檢拾熱壓超聲焊頭、精確定位承片臺(tái)及顯示屏等組成的精密設(shè)備。 將欲FCB的基板放置在承

30、片臺(tái)上,用檢拾焊頭檢拾帶有凸點(diǎn)的芯片,面朝下對(duì)著基板,一路光學(xué)攝像頭對(duì)著凸點(diǎn)芯片面,一路光學(xué)攝像頭對(duì)著基板上的焊區(qū),分別進(jìn)行調(diào)準(zhǔn)對(duì)位,并顯示在屏上。待調(diào)準(zhǔn)對(duì)位達(dá)到要求的精度后,即可落下壓焊頭進(jìn)行壓焊。壓焊頭可加熱,并帶有超聲,同時(shí)承片臺(tái)也對(duì)基板加熱,在加熱、加壓、超聲到設(shè)定的時(shí)間后就完成所有凸點(diǎn)與基板焊區(qū)的焊接。 FCB與基板的平行度非常重要,如果它們不平行,焊接后的凸點(diǎn)形變將有大有小,致使拉力強(qiáng)度也有高有低,有的焊點(diǎn)可能達(dá)不到使用要求。第二章 封裝工藝流程再流FCB法 這種焊接方法專(zhuān)對(duì)各類(lèi)Pb-Sn焊料凸點(diǎn)進(jìn)行再流焊接,俗稱(chēng)再流焊接法。這種FCB技術(shù)最早起源于于美國(guó)IBM公司,又稱(chēng)C4技術(shù),

31、即可控塌陷芯片連接。C4C4技術(shù)倒裝焊的特點(diǎn)是:技術(shù)倒裝焊的特點(diǎn)是:1)C4除具有一般凸點(diǎn)芯片F(xiàn)CB的優(yōu)點(diǎn)外,它的凸點(diǎn)還可整個(gè)芯片面陣分布,再流時(shí)能夠彌補(bǔ)基板的凹凸不平或扭曲等,所以,不但可與光滑平整的陶瓷/硅基板金屬焊區(qū)互連,還能與PWB上的金屬焊區(qū)互連。2)C4的芯片凸點(diǎn)使用高熔點(diǎn)的焊料(如90%Pb-10%Sn),而PWB上的焊區(qū)使用低熔點(diǎn)的常規(guī)37%Pb-63%Sn焊料,倒裝焊再流時(shí),C4凸點(diǎn)不變形,只有低熔點(diǎn)的焊料熔化,這就可以彌補(bǔ)PWB基板的缺陷(如凹凸扭曲等)產(chǎn)生焊接不均勻問(wèn)題。3)倒裝焊時(shí)Pb-Sn焊料熔化再流時(shí)較高的表面張力會(huì)產(chǎn)生“自對(duì)準(zhǔn)效果,這就使C4芯片倒裝焊時(shí)對(duì)準(zhǔn)精度要

32、求大為寬松。第二章 封裝工藝流程環(huán)氧樹(shù)脂光固化倒裝焊法 這是一種微凸點(diǎn)FCB法。日本曾用這種方法對(duì)6mm6mm芯片成功進(jìn)行倒裝焊,Au凸點(diǎn)僅為5m5m,節(jié)距只有10m,載有2320個(gè)微凸點(diǎn)。與一般倒裝焊截然不同的是,這里利用光敏樹(shù)脂光固化時(shí)產(chǎn)生的收縮力將凸點(diǎn)與基板上謹(jǐn)慎焊區(qū)牢固地互連在一起,不是“焊接”,而是“機(jī)械接觸”。第二章 封裝工藝流程各向異性導(dǎo)電膠 在大量的液晶顯示器(LCD)與IC芯片連接的應(yīng)用中,典型的是使用各向異性導(dǎo)電膠薄膜各向異性導(dǎo)電膠薄膜(ACAF)將TAB的外引線(xiàn)焊接(OLB)到玻璃顯示板的焊區(qū)上,但最小外引腳焊接(OLB outer lead bonding)的節(jié)距為70

33、m。而使用各向異性導(dǎo)電膠各向異性導(dǎo)電膠(ACA)(ACA)可以直接倒裝焊再玻璃基板上,稱(chēng)為玻璃上芯片(COG)技術(shù)。第二章 封裝工藝流程各向異性導(dǎo)電膠 ACA有熱固型熱固型、熱塑型熱塑型和紫外光紫外光(UV)(UV)固化型固化型幾種,而以UV型最佳,熱固型次之。 UV型的固化速度快,無(wú)溫度梯度,故芯片和基板均不需加熱,因此不需考慮由UV照射固化產(chǎn)生的微弱熱量引起的熱不匹配問(wèn)題。 UV的光強(qiáng)可在1500mW/cm2以上,光強(qiáng)越強(qiáng),固化時(shí)間越短。一般照射數(shù)秒后,讓ACA達(dá)到“交聯(lián)”,這時(shí)可去除壓力,繼續(xù)光照,方可達(dá)到完全固化。光照時(shí)需加壓,100m100m的凸點(diǎn)面積,需加壓0.5N/凸點(diǎn)以上。第二

34、章 封裝工藝流程為了制作更小、精度更高的LCD,就要不斷縮小IC芯片的凸點(diǎn)尺寸、凸點(diǎn)節(jié)距或倒裝焊節(jié)距。例如小于50m凸點(diǎn)尺寸或節(jié)距,這樣使用ACA常規(guī)倒裝焊方法,將使橫向短路的可能性隨之增加。為了消除這種不良影響,使用ACA倒裝焊方法要加以改進(jìn),其中設(shè)置尖峰狀的絕緣介質(zhì)壩設(shè)置尖峰狀的絕緣介質(zhì)壩就是一種有效的方法。第二章 封裝工藝流程倒裝焊接后的芯片下填充 倒裝焊后,在芯片與基板間填充環(huán)氧樹(shù)脂環(huán)氧樹(shù)脂,不但可以保護(hù)芯片免受環(huán)境如濕汽、離子等污染,利于芯片在惡劣環(huán)境下正常工作,而且可以使芯片耐受機(jī)械振動(dòng)和沖擊。特別是填充樹(shù)脂后可以減少芯片與基板(尤其PWB)間膨脹失配的影響,即可減小芯片凸點(diǎn)連接處

35、的應(yīng)力和應(yīng)變。第二章 封裝工藝流程倒裝焊芯片下填充環(huán)氧樹(shù)脂填料要求 應(yīng)小于倒裝焊芯片與基板間的間隙,以達(dá)到芯片下各處完全填充覆蓋。填料應(yīng)無(wú)揮發(fā)性,因?yàn)閾]發(fā)能使芯片下產(chǎn)生間隙,從而導(dǎo)致機(jī)械失效。應(yīng)盡可能減小乃至消除失配應(yīng)力,填料與倒裝芯片凸點(diǎn)連接處的z方向CTE(Coefficient of Thermal Expansion 熱膨脹系數(shù))應(yīng)大致匹配。為避免PWB產(chǎn)生形變,填料的固化溫度要低一些。要達(dá)到耐熱循環(huán)沖擊的可靠性,填料應(yīng)有高的玻璃轉(zhuǎn)化溫度。對(duì)于存儲(chǔ)器等敏感器件,填充放射性低的填料至關(guān)重要。填料的粒子尺寸在填充溫度操作條件下的填料粘滯性要低,流動(dòng)性要好,即填料的粘滯性應(yīng)隨著溫度的提高而降

36、低。為使倒裝焊互連具有較小的應(yīng)力,填料應(yīng)具有較高的彈性模量和彎曲強(qiáng)度。在高溫高濕環(huán)境條件下,填料的絕緣電阻要高,即要求雜質(zhì)離子(Cl-、Na、K等)數(shù)量要低。填料抗各種化學(xué)腐蝕的能力要強(qiáng)。第二章 封裝工藝流程填料的填充方法 實(shí)際填充時(shí),將倒芯片和基板加熱到70-75,利用加有填料、形狀如同“L”的注射器,沿著芯片的邊緣雙向注射填料。 由于毛細(xì)管虹吸作用,填料被吸入,并向芯片-基板的中心流動(dòng)。一個(gè)12.7mm見(jiàn)方的芯片,10分鐘可完全充滿(mǎn)縫隙,用料大約0.03ml。 填充后要對(duì)環(huán)氧樹(shù)脂進(jìn)行固化??稍诤嫦渲蟹侄紊郎?,待達(dá)到固化溫度后,保溫3-4小時(shí),即可達(dá)到完全固化。第二章 封裝工藝流程2.5 2

37、.5 成型技術(shù)成型技術(shù) 芯片互連完成之后就到了塑料封裝的步驟,即將芯片與引線(xiàn)框架包裝起來(lái)。這種成型技術(shù)有金屬封裝金屬封裝、塑料封裝塑料封裝、陶瓷封裝陶瓷封裝等,但從成本的角度和其它方面綜合考慮,塑料封裝是最為常用的封裝方式,它占據(jù)90%左右的市場(chǎng)。第二章 封裝工藝流程2.5 2.5 成型技術(shù)成型技術(shù)1、塑料封裝的種類(lèi)和材料 塑料封裝的成型技術(shù)有多種,包括轉(zhuǎn)移成型技術(shù)轉(zhuǎn)移成型技術(shù)(Transfer Molding)、噴射成型技術(shù)噴射成型技術(shù)(Inject Molding)、預(yù)預(yù)成型技術(shù)成型技術(shù)(Premolding)等,但最主要的是轉(zhuǎn)移成型技術(shù)。轉(zhuǎn)移成型使用的材料一般為熱固性聚合物(Thermo

38、setting Polymer)。 熱固性聚合物熱固性聚合物是指低溫時(shí)聚合物是塑性的或流動(dòng)的,但將其加熱到一定溫度時(shí),即發(fā)生所謂的交聯(lián)反應(yīng)(Cross-inking),形成剛性固體。若繼續(xù)加熱,則聚合物只能變軟而不可能熔化、流動(dòng)。第二章 封裝工藝流程2.5 2.5 成型技術(shù)成型技術(shù)2、轉(zhuǎn)移成型工藝流程 將已貼裝芯片并完成引線(xiàn)鍵合的框架帶置于模具中; 將塑封的預(yù)成型塊在預(yù)熱爐中加熱(預(yù)熱溫度在90-95之間); 放入轉(zhuǎn)移成型機(jī)的轉(zhuǎn)移罐中 在轉(zhuǎn)移成型壓力下,塑封料被擠壓到澆道中,經(jīng)過(guò)澆口注入模腔(整個(gè)過(guò)程中,模具溫度保持在170-175) 塑封料在模具中固化,經(jīng)過(guò)一段時(shí)間的保壓,使模塊達(dá)到一定的硬

39、度,然后用頂桿頂出模塊,就完成成型過(guò)程。第二章 封裝工藝流程2.5 2.5 成型技術(shù)成型技術(shù)3、轉(zhuǎn)移成型設(shè)備 在自動(dòng)化生產(chǎn)設(shè)備中,產(chǎn)品的預(yù)熱、模具的加熱和轉(zhuǎn)移成型操作都在同一臺(tái)設(shè)備中完成,并由計(jì)算機(jī)實(shí)施控制。也就是說(shuō)預(yù)熱、框架帶的放置、模具放置等工序都可以達(dá)到完全自動(dòng)化。第二章 封裝工藝流程轉(zhuǎn)移成型技術(shù)設(shè)備預(yù)加熱器壓機(jī)模具和固化爐2.6 2.6 去飛邊毛刺去飛邊毛刺 塑料封裝中塑封料樹(shù)脂溢出、貼帶毛邊、引線(xiàn)毛刺等統(tǒng)稱(chēng)為飛邊毛刺現(xiàn)象。去飛邊毛刺主要工序第二章 封裝工藝流程介質(zhì)去飛邊毛刺 溶劑去飛邊毛刺溶劑去飛邊毛刺 用介質(zhì)去飛邊毛刺時(shí),是將研磨料(如顆粒狀的塑料球)與高壓空氣一起沖洗模塊。在去飛

40、邊毛刺過(guò)程中,介質(zhì)會(huì)將框架引腳的表面輕微擦磨,這將有助于焊料和金屬框架的粘連。水去飛邊毛刺水去飛邊毛刺 用水去飛邊毛刺工藝是利用高壓的水流來(lái)沖擊模塊,有時(shí)也會(huì)將研磨料與高壓水流一起使用。用溶劑來(lái)去飛邊毛刺通常只適用于很薄的毛刺。溶劑包括N-甲基吡咯烷酮(NMP)或雙甲基呋喃(DMF)。2.7 2.7 上焊錫上焊錫 封裝后要對(duì)框架外引線(xiàn)進(jìn)行上焊錫處理,目的是在框架引腳上做保護(hù)層和增加其可焊性。上焊錫可用二種方法,電鍍和浸錫。電鍍工序: 清洗-在電鍍槽中進(jìn)行電鍍-沖洗-吹干-烘干(在烘箱中)浸錫工序: 去飛邊-去油-去氧化物-浸助焊劑-熱浸錫(熔融焊錫,Sn/Pb=63/67)-清洗-烘干二種方法

41、比較: 浸錫容易引起鍍層不均勻,中間厚,邊上?。ū砻鎻埩ψ饔茫?。電鍍中間薄角周?chē)瘢姾杉坌?yīng))。電鍍液還會(huì)造成離子污染。第二章 封裝工藝流程2.8 2.8 切筋成型切筋成型 切筋工藝是指切除框架外引腳之間的堤壩以及在框架帶上連在一起的地方;成型工藝則是將引腳彎成一定形狀,以適合裝配的需要。 切筋成型通常是兩道工序,但同時(shí)完成(在機(jī)器上)。有的公司是分開(kāi)做的,如Intel公司。先切筋,然后完成上焊錫,再進(jìn)行成型工序,其好處是可以減少?zèng)]有上焊錫的截面面積,如切口部分的面積。第二章 封裝工藝流程2.9 2.9 打碼打碼 打碼就是在封裝模塊的頂面印上去不掉的、字跡清楚的標(biāo)識(shí),包括制造商的信息、國(guó)家

42、、器件代碼等。最常用印碼方式是油墨印碼和激光印碼兩種。第二章 封裝工藝流程油墨打碼油墨打碼 工藝過(guò)程有些像敲橡皮圖章,因?yàn)槭怯孟鹉z來(lái)刻制打碼標(biāo)識(shí)。油墨是高分子化合物,是基于環(huán)氧或酚醛的聚合物,需要進(jìn)行熱固化,或使用紫外光固化。油墨打碼對(duì)表面要求較高,表面有污染油墨則打不上去。另外油墨也容易擦去。為了節(jié)省生產(chǎn)實(shí)間,在模塊成型之后先打碼,然后將模塊進(jìn)行固化,也就是塑封料和油墨一起固化。粗糙的表面油墨的粘附性好。激光印碼激光印碼 利用激光就是在模塊表面寫(xiě)標(biāo)識(shí)?,F(xiàn)有激光打碼機(jī)。激光打碼最大的優(yōu)點(diǎn)是印碼不易擦去,工藝簡(jiǎn)單。缺點(diǎn)是字跡較淡。2.10 2.10 元器件的裝配元器件的裝配 元器件裝配在基板上的

43、方法有兩種兩種: 波峰焊波峰焊(Wave Soldering)(Wave Soldering),波峰焊主要在插孔式PTH(plated through-hole 鍍金屬通孔)封裝型元器件裝配,表面貼裝式SMT及混合型元器件裝配則大多使用回流焊。 回流焊回流焊也叫再流焊(其核心環(huán)節(jié)是利用外部熱源加熱,使焊料熔化而再次流動(dòng)浸潤(rùn)以完成電路板的焊接),是伴隨著微型化電子產(chǎn)品的出現(xiàn)而發(fā)展起來(lái)的焊接技術(shù),它最適合表面貼裝元器件,也可以用于插孔式元器件與表面貼裝器件混合電路的裝配。第二章 封裝工藝流程第二章 封裝工藝流程回流焊的焊接原理: 當(dāng)PCB進(jìn)入升溫區(qū)(干燥區(qū))時(shí),焊膏中的溶劑、氣體蒸發(fā)掉,同時(shí),焊膏

44、中的助焊劑潤(rùn)濕焊盤(pán)、元器件端頭和引腳,焊膏軟化、塌落無(wú)鉛波峰焊無(wú)鉛波峰焊、覆蓋了焊盤(pán)、回流焊元器件端頭和引腳與氧氣隔離計(jì)算機(jī)B進(jìn)入保溫區(qū)時(shí),PCB和元器件得到充分的預(yù)熱,以防PCB突然進(jìn)入焊接高溫區(qū)而損壞PCB和元器件當(dāng)電腦B進(jìn)入焊接區(qū)時(shí),溫度迅速上升使焊膏達(dá)到熔化狀態(tài),液態(tài)焊錫對(duì)PCB的焊盤(pán)、元器件端頭和引腳潤(rùn)濕、擴(kuò)散、漫流或回流混合形成焊錫接點(diǎn)PCB進(jìn)入冷卻區(qū),使焊點(diǎn)凝固。此時(shí)完成了再流焊。 按加熱方式的不同,分為氣相回流焊(焊劑(錫膏)在一定的高溫氣流下進(jìn)行物理反應(yīng)達(dá)到SMD的焊接;因?yàn)槭菤怏w在焊機(jī)內(nèi)循環(huán)流動(dòng)產(chǎn)生高溫達(dá)到焊接目的,所以叫“回流焊)、紅外回流焊、遠(yuǎn)紅外回流焊、紅外加熱風(fēng)回流

45、焊和全熱風(fēng)回流焊。另外根據(jù)焊接特殊需要還有充氮回流焊。目前常見(jiàn)設(shè)備有臺(tái)式回流爐和立式回流爐。厚膜與薄膜的概念厚膜與薄膜的概念 相對(duì)于三維塊體材料,從一般意義上講,所謂膜,由于其厚度尺寸小,可以看著是物質(zhì)的二維形態(tài)。在膜中又有薄膜和厚膜之分。 按膜厚的經(jīng)典分類(lèi)認(rèn)為,小于1m的為薄膜,大于1m的為厚膜。 另一種認(rèn)為,厚膜與薄膜的概念并不單指膜的厚度,而主要是還是指制造工藝技術(shù)的不同。厚膜是通過(guò)絲網(wǎng)印刷(或噴涂)和燒結(jié)(聚合)的方法,而薄膜是通過(guò)真空蒸發(fā)、濺散、氣相化學(xué)淀積、電鍍等方法而形成。第三章 厚薄膜技術(shù)3.2 3.2 厚膜技術(shù)厚膜技術(shù) 厚膜技術(shù)是用絲網(wǎng)印刷或噴涂等方法,將導(dǎo)體漿料、電阻漿料和

46、介質(zhì)漿料等涂覆在陶瓷基板上制成所需圖形,再經(jīng)過(guò)燒結(jié)或聚合完成膜與基板的粘接。它的基本內(nèi)容是印刷和燒結(jié),但目前已發(fā)展成綜合性很高的一種技術(shù)。它的范圍和內(nèi)容越來(lái)越廣泛,包括互連技術(shù),制造元器件技術(shù)和組裝封裝技術(shù)。第三章 厚薄膜技術(shù)厚膜技術(shù)的主要工序 漿料漿料,也稱(chēng)涂料,它是由金屬或金屬氧化物粉末和玻璃粉分散在有機(jī)載體中而制成的可以印刷的漿狀物或糊狀物。其中的有機(jī)載體是由有機(jī)溶劑和樹(shù)脂配制而成的。第三章 厚薄膜技術(shù)1.制法: 根據(jù)不同的漿料(導(dǎo)體、電阻、介質(zhì)等)的成分和配方,將各種固體粉料先均勻混合,再加入適量載體,使粉料均勻分散于載體中,然后再進(jìn)行研磨,便獲得結(jié)構(gòu)均勻的分散體系,即厚膜漿料。2.印

47、刷 印刷是厚膜漿料在基板上成膜的基本技術(shù)之一。厚膜中最常用的印刷是絲網(wǎng)印刷。 這種印刷技術(shù)先用絲綢、尼龍或不銹鋼絲編織成的網(wǎng)繃緊在框架上,再將刻有導(dǎo)體或電阻圖形的有機(jī)膜或金屬箔(稱(chēng)掩模)貼到絲網(wǎng)上。印刷時(shí),將基板放在絲網(wǎng)下面,而將漿料放在絲網(wǎng)上面,然后用橡膠或塑料制成的刮板以一定的速度和壓力在絲網(wǎng)上移動(dòng),使它通過(guò)掩模上的開(kāi)孔圖形而漏印到基板上,于是在基板上便得到該漿料印出的所需圖形。第三章 厚薄膜技術(shù)3.干燥 印好的圖形要經(jīng)過(guò)“流延”,又稱(chēng)“流平”一段時(shí)間,通常為5-15分鐘。主要是使絲網(wǎng)篩孔的痕跡消失,某些易揮發(fā)的溶劑在室溫下?lián)]發(fā)。4.燒結(jié) 燒結(jié)也稱(chēng)燒成,它是厚膜技術(shù)中的主要工序之一。印好的

48、厚膜漿料只有經(jīng)過(guò)燒結(jié)工序后,才具有一定的電性能,才能成為所需要的厚膜元件。 燒結(jié)過(guò)程的階段:升溫、最高燒結(jié)溫度(或稱(chēng)峰值溫度)升溫、最高燒結(jié)溫度(或稱(chēng)峰值溫度)的保溫和降溫三個(gè)階段的保溫和降溫三個(gè)階段。 厚膜元件的質(zhì)量與燒結(jié)條件(包括升、降溫速率,最高燒結(jié)溫度和保溫時(shí)間統(tǒng)稱(chēng)燒成曲線(xiàn)等有密切的關(guān)系,所以要嚴(yán)格進(jìn)行控制。第三章 厚薄膜技術(shù)4.微調(diào) 微調(diào)是厚膜元件燒結(jié)后,對(duì)其阻值或容量進(jìn)行微量調(diào)整的一種方法。 微調(diào)的原因是:因?yàn)楹衲る娮杌螂娙菰跓Y(jié)后其阻值和容量通常還不能完全達(dá)到所要求的數(shù)值精度,所以還需要進(jìn)行調(diào)整。 調(diào)整的方法:用噴砂或激光等方法來(lái)切割電阻或電容圖形,以改變他們的幾何尺寸。使阻值或

49、容量發(fā)生變化,從而達(dá)到預(yù)定的標(biāo)稱(chēng)值和所需的精度。微調(diào)對(duì)電阻來(lái)說(shuō),通常是阻值上升,而電容器較多的是容量下降。5.封裝 封裝是把制成的厚膜電路或組合件保護(hù)在一定的外殼中或采取其它防護(hù)措施,如印刷一層保護(hù)層,以達(dá)到防潮、防輻射和防止周?chē)h(huán)境氣氛等影響。第三章 厚薄膜技術(shù)3.3 3.3 厚膜材料厚膜材料 厚膜材料包括基板、導(dǎo)體材料、電阻材料、介質(zhì)材料。陶瓷基板包括:陶瓷基板包括: 氧化鋁陶瓷基板、氧化鈹陶瓷基板、特種陶瓷基板(高介電系數(shù)的鈦酸鹽、鋯酸鹽,和具有鐵磁性的鐵氧體陶瓷等,主要作傳感器和磁阻電路用)、氮化鋁基板和碳化硅陶瓷基板。第三章 厚薄膜技術(shù)厚膜基板厚膜基板陶瓷金屬樹(shù)脂氧化鋁陶瓷基板 目前

50、用的比較多的基板,它的主要成分是Al2O3,基板中Al2O3的含量通常為92-99.9%,Al2O3的含量愈高基板的性能愈好,但與厚膜的附著力較差,因此一般采用94-96% Al2O3的陶瓷。 這種氧化鋁陶瓷板要在1700以上高溫下燒成,因而成本比較高。所以國(guó)內(nèi)外也有采用85%和75% Al2O3陶瓷的,雖然它們的性能稍差些,但成本低,在一般的電路生產(chǎn)中可采用。第三章 厚薄膜技術(shù)多層陶瓷基板 所謂多層陶瓷基板,就是呈多層結(jié)構(gòu),它是用來(lái)作多層布線(xiàn)用的。目前用的最多的主要是氧化鋁多層陶瓷基板。多層化的方法有三種: 厚膜多層法用燒成的Al2O3板 印刷多層法用未燒成(生)的基板 生板(片)疊層法用生

51、板(帶有通孔)第三章 厚薄膜技術(shù)厚膜多層法 厚膜多層法是在燒成的氧化鋁基板上交替地印刷和燒結(jié)厚膜導(dǎo)體(如Au、Ag-Pd等)與介質(zhì)漿料而制成,導(dǎo)體層之間的連接是在介質(zhì)層上開(kāi)孔并填入導(dǎo)體漿料,燒結(jié)后而相互連接起來(lái)。印刷多層法 它是在生的氧化鋁陶瓷基板上印刷和干燥Mo、W等導(dǎo)體層,然后再其上印刷和干燥與基板成分相同的Al2O3介質(zhì)漿料,反復(fù)進(jìn)行這種工序到所需層數(shù),再將這種基板在1500-1700的還原氣氛中燒成,基板燒成后,在導(dǎo)體部分鍍鎳、金以形成焊區(qū),焊接外接元件。第三章 厚薄膜技術(shù)生板(片)疊層法 它是在沖好通孔的氧化鋁生片上印刷Mo、W等導(dǎo)體,然后將這種印好導(dǎo)體圖形的生片合疊到所需層數(shù),在一

52、定的壓力和溫度下壓緊,再放到1500-1700的還原氣氛中燒結(jié)成一個(gè)堅(jiān)固的整體。 以下進(jìn)行三種多層化方法的比較第三章 厚薄膜技術(shù)厚膜多層法特點(diǎn):特點(diǎn): 制造靈活性大,介質(zhì)漿料可以用多種成分,不一定用基板成分??梢栽诳諝庵袩Y(jié),溫度在1000以下。 燒結(jié)后基板上的導(dǎo)體不需要電鍍,用金或銀-鈀可直接焊接。 基板內(nèi)部可以制作電阻、電容等厚膜元件。 制作過(guò)程容易實(shí)現(xiàn)自動(dòng)化。缺點(diǎn):缺點(diǎn): 制造很細(xì)的線(xiàn)(微細(xì)線(xiàn))困難。因燒成的介質(zhì)上印導(dǎo)線(xiàn)容易滲開(kāi)。 可焊性、密封性和散熱性沒(méi)其它二種好。第三章 厚薄膜技術(shù)印刷多層法和生片疊層法 二者是利用生片容易吸收漿料中的溶劑的特點(diǎn)來(lái)制造的,它們的優(yōu)點(diǎn)優(yōu)點(diǎn): 線(xiàn)條不會(huì)滲開(kāi)

53、變粗,可以印出分辨率很高的微細(xì)線(xiàn)。 容易實(shí)現(xiàn)多層化,進(jìn)行高密度布線(xiàn)。即層數(shù)可以制得很多,尤其是生片疊層法可以做到30層以上。 導(dǎo)體和絕緣介質(zhì)燒成整體,密封性好,可靠性高。 基板只需一次燒成,導(dǎo)體采用Mo、W等賤金屬材料,因而成本低。缺點(diǎn):缺點(diǎn): 設(shè)計(jì)和制造靈活性差,生產(chǎn)周期長(zhǎng) 燒結(jié)溫度高,要在還原性氣氛中燒結(jié)等。三種多層化方法的比較對(duì)厚膜導(dǎo)體的要求對(duì)厚膜導(dǎo)體的要求1 導(dǎo)電率高,且與溫度的相關(guān)性小2 附著力強(qiáng)3 可焊性好,能重焊4 抗焊料侵蝕5 可熱壓焊合超聲焊6 適合絲網(wǎng)印刷和燒結(jié),多次燒結(jié)性能不變7 不發(fā)生遷移現(xiàn)象,與其他元器件相容性好8 資源豐富,成本低厚膜導(dǎo)體與材料第三章第三章 厚薄膜技

54、術(shù)厚薄膜技術(shù)3.3 厚膜材料厚膜材料Ag、Ag-Pd、Cu、Au等能較好地滿(mǎn)足上述要求,實(shí)際采用較多。 Ag漿料的最大特點(diǎn)是電導(dǎo)率高,但其與基板的附著強(qiáng)度、焊接特性等存在問(wèn)題。 焊接后的Ag厚膜導(dǎo)體,隨時(shí)間加長(zhǎng)及溫度上升,其與基板的附著強(qiáng)度下降。這是由于Ag與玻璃層間形成Ag-O鍵,以及與焊料擴(kuò)散成分生成Ag3Sn所致。為了防止或減少Ag3Sn的發(fā)生,或者使Ag膜加厚,或者在Ag上電鍍Ni。 Ag導(dǎo)體的最大缺點(diǎn)是容易發(fā)生遷移。這是由于Ag與基板表面吸附的水分相互作用,Ag與OH-生成AgOH。AgOH不穩(wěn)定,容易被氧化而析出Ag,從而引起Ag的遷移。為了抑制Ag的遷移,一般都要在漿料中添加Pd

55、或Pt。Ag 銀導(dǎo)體第三章第三章 厚薄膜技術(shù)厚薄膜技術(shù)3.3 厚膜材料厚膜材料 Ag中添加Pd,當(dāng)Pd/(Pd+Ag)0.1左右時(shí)即產(chǎn)生效果,但當(dāng)Pd的添加量較多時(shí),在300-760范圍內(nèi)發(fā)生氧化反應(yīng)而生成PdO,這不僅使焊接性能變差,而且造成導(dǎo)體電阻增加。因此,Ag/Pd比一般要控制在(2.5:1)(4.0:1)。 為了提高Ag-Pd導(dǎo)體的焊接浸潤(rùn)性,以及導(dǎo)體與基板間的接合強(qiáng)度,需要添加Bi2O3。在燒成過(guò)程中,部分BiO2O3溶入玻璃中,在玻璃的相對(duì)成分增加的同時(shí),它與Al2O3基板發(fā)生如下反應(yīng): Al2O3+ Bi2O32(BiAl)2O3Ag-Pd 銀-鈀導(dǎo)體第三章第三章 厚薄膜技術(shù)厚

56、薄膜技術(shù)3.3 厚膜材料厚膜材料 隨Bi含量增加,膜的結(jié)合強(qiáng)度增大。 焊接時(shí)要對(duì)膜加熱,加熱時(shí)間增加,金屬粒界與玻璃之間分散的Bi2O3會(huì)發(fā)生如下還原反應(yīng): 2Bi2O3+3Sn4Bi+3SnO2使用Ag-Pd導(dǎo)體時(shí),通常進(jìn)行下述試驗(yàn):測(cè)定電阻值 (按需要有時(shí)也包括TCR)浸潤(rùn)性。測(cè)量導(dǎo)體膜上焊料液滴的展寬直徑。遷移性。在導(dǎo)體圖形間滴上水滴,并施加一定電壓測(cè)量達(dá)到短路今后經(jīng)過(guò)的時(shí)間。結(jié)合強(qiáng)度。在導(dǎo)體膜焊接引線(xiàn),沿垂直于膜面方向拉伸,測(cè)量拉斷時(shí)的強(qiáng)度,確定破斷位置,分析斷面形貌結(jié)構(gòu)等。熱老化后的強(qiáng)度。焊接后,在150下放置48小時(shí),測(cè)量導(dǎo)線(xiàn)的結(jié)合強(qiáng)度等。第三章第三章 厚薄膜技術(shù)厚薄膜技術(shù)3.3

57、厚膜材料厚膜材料Ag-Pd 銀-鈀導(dǎo)體 與貴金屬相比,銅具有很高的電導(dǎo)率,可焊性、耐遷移性、耐焊料浸蝕性都好,而且價(jià)格便宜。但是,銅在大氣中燒成會(huì)氧化,需要在氮?dú)庵袩?,其中的氧含量?yīng)控制在幾個(gè)ppm(即10-6)以下。此外在多層工程中與介質(zhì)體共燒時(shí)容易出現(xiàn)分層和微孔等。 二步燒成法: 即先在氧化氣氛中,后在還原氣氛中對(duì)銅漿料進(jìn)行燒成。這樣既可全部排除有機(jī)粘結(jié)劑,又可提高附著力、可焊性、電導(dǎo)等性能。首先在N2中摻入(10-1000)ppm的O2在此氣氛下,在900燒制10分鐘,而后在N2中混入1%H2的氣氛中,在250260,燒制10分鐘,即告完成。兩步燒成法制成的Cu厚膜可采用不含銀的63S

58、n/37Pb焊接,導(dǎo)體的結(jié)合強(qiáng)度也很高。Cu 銅導(dǎo)體第三章第三章 厚薄膜技術(shù)厚薄膜技術(shù)3.3 厚膜材料厚膜材料 燒成法燒成法也適用于多層化,并已用于MCM基板的制作。先在Al2O3上印刷CuO漿料,干燥后,印刷硼硅酸玻璃(SiO3-B2O3-Al2Al3-CaO-MgO)絕緣體漿料,再干燥,干燥條件是125,10分鐘。重復(fù)操作若干次,然后在大氣中燒結(jié)30分鐘排膠,再在約含10%H2的氣氛中,在450還原,接著在非活性氣氛中1000燒成。Cu 銅導(dǎo)體第三章第三章 厚薄膜技術(shù)厚薄膜技術(shù)3.3 厚膜材料厚膜材料 在金漿料中有玻璃粘結(jié)型、無(wú)玻璃粘結(jié)型、混合型三種。 玻璃粘結(jié)劑將Au與玻璃粉末分散于有機(jī)

59、溶劑中制成的。但這種漿料再燒成時(shí)玻璃易浮到膜層表面,從而有使引線(xiàn)鍵合變難的傾向。 代替玻璃而加入TiO2、CuO、CdO等,與基板反應(yīng),生成CuAl2O4、Al2O4Cd等化合物,成為導(dǎo)體膜與基板之間的界面。這種化合物與基板形成化學(xué)結(jié)合,也屬于不用玻璃粘結(jié)劑而實(shí)現(xiàn)導(dǎo)體膜與基板結(jié)合的漿料,但化合物生成溫度高是難點(diǎn),為此,開(kāi)發(fā)了加入玻璃及Bi2O3等富于流動(dòng)性的物質(zhì),使燒成溫度降低的混合結(jié)合型漿料。Au 金導(dǎo)體第三章第三章 厚薄膜技術(shù)厚薄膜技術(shù)3.3 厚膜材料厚膜材料通稱(chēng)樹(shù)脂漿料,由這種有機(jī)金屬化合物漿料可最終制取金屬膜層。目前已有滿(mǎn)足電子工業(yè)領(lǐng)域各種不同要求的各類(lèi)樹(shù)脂漿料。一般是在Au、Ag、P

60、d、Pt等有機(jī)金屬的導(dǎo)電材料中添加Bi、Si、Pb、B等有機(jī)金屬添加劑,做成液體狀的Au、Ag、Pt、Au-PtPd漿料等市場(chǎng)出售。金屬有機(jī)化合物漿料(metallo-organic paste;MO 漿料)第三章第三章 厚薄膜技術(shù)厚薄膜技術(shù)3.3 厚膜材料厚膜材料金屬有機(jī)化合物漿料(metallo-organic paste;MO 漿料)第三章第三章 厚薄膜技術(shù)厚薄膜技術(shù)3.3 厚膜材料厚膜材料樹(shù)脂漿料樹(shù)脂漿料優(yōu)點(diǎn)優(yōu)點(diǎn)1便宜2所用設(shè)備投資少3可得到致密、均質(zhì)、平滑的膜層4可光刻制取細(xì)線(xiàn)5與電阻體、絕緣體的相容性好缺點(diǎn)缺點(diǎn)1對(duì)所用基板表面平滑性要求高2對(duì)基板表面及環(huán)境的清潔度要求高3由于膜層薄

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論