汽車類專業(yè)論文_第1頁(yè)
汽車類專業(yè)論文_第2頁(yè)
汽車類專業(yè)論文_第3頁(yè)
汽車類專業(yè)論文_第4頁(yè)
汽車類專業(yè)論文_第5頁(yè)
已閱讀5頁(yè),還剩14頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、摘 要主要介紹了基于可編程邏輯器件的汽車尾燈控制器的設(shè)計(jì)方法與實(shí)現(xiàn)過(guò)程。QUARTUSII平臺(tái),采用自頂向下的設(shè)計(jì)方法,運(yùn)用硬件描述語(yǔ)言VHDL實(shí)現(xiàn)了汽車尾燈控制器的主體功能模塊電路的編寫;并把所設(shè)計(jì)的內(nèi)容下載到汽車尾燈控制器的硬件載體上進(jìn)行相應(yīng)的功能測(cè)試,順利實(shí)現(xiàn)了汽車尾燈功能控制。關(guān)鍵詞:可編程邏輯器件 汽車尾燈控制器 QUARTUSII VHDL目 錄摘 要II目 錄III第1章 緒 論11.1 尾燈的國(guó)內(nèi)外發(fā)展現(xiàn)狀1第2章 簡(jiǎn)介72.1 EDA技術(shù)72.1.1 EDA技術(shù)的概念72.1.2 EDA技術(shù)的特點(diǎn)72.1.3 EDA設(shè)計(jì)流程72.2 硬件描述語(yǔ)言(VHDL)82.2.1 VH

2、DL簡(jiǎn)介82.2.2 VHDL語(yǔ)言的特點(diǎn)8第3章 設(shè)計(jì)實(shí)現(xiàn)103.1 汽車尾燈控制器總體結(jié)構(gòu)圖103.2 時(shí)鐘分頻模塊103.3 汽車尾燈主控模塊11第4章 系統(tǒng)仿真144.1 分頻模塊仿真及分析144.2 汽車尾燈主控模塊仿真及分析144.3 汽車尾燈控制器總體電路的仿真及分析154.4硬件驗(yàn)證15參考文獻(xiàn)1917第1章 緒 論1.1 尾燈的發(fā)展現(xiàn)狀在汽車領(lǐng)域中,汽車不僅僅是主要的代步工具之一,同時(shí)也是時(shí)尚和潮流的最好的體現(xiàn)。汽車尾燈是汽車的語(yǔ)言。更加靈敏的燈光信號(hào)可以更好的被人“讀懂”,更有效地對(duì)其他車輛的司機(jī)起到提醒作用,及時(shí)采取相應(yīng)的規(guī)避動(dòng)作,從而讓駕駛更安全。同時(shí)尾燈更廣泛的應(yīng)用于科

3、研項(xiàng)目。汽車尾燈在汽車信號(hào)燈具中占據(jù)重要地位,因?yàn)樗鼈儼l(fā)出的信號(hào)顯示汽車行駛狀態(tài)和行駛軌跡即將發(fā)生變化,對(duì)汽車安全行駛肩負(fù)重要使命。近年來(lái),汽車外形由于設(shè)計(jì)上的需要,空氣動(dòng)力特性的提高以及美觀的需求,低側(cè)面且流線型的外形越來(lái)越受歡迎。因此,尾燈的形狀也朝著異型化、一體化方向發(fā)展,同時(shí)由于尾燈占用了汽車后車廂的體積,因此希望尾燈的前后深度(即厚度)盡量薄,這樣設(shè)計(jì)上就需要將轉(zhuǎn)向燈、剎車燈、側(cè)車燈、倒車燈等各種燈具與車體融為一體,開(kāi)發(fā)成一套組合燈具,因此對(duì)汽車尾燈反射鏡的形狀及采用的材料提出了更高的要求。由于光學(xué)和包裝成本的降低,新190-流明橙紅燈III發(fā)光器可以用于建立更小型的壽命更長(zhǎng)的后車燈

4、照明系統(tǒng),價(jià)格比正常的白熾裝置的更具競(jìng)爭(zhēng)性。超越美國(guó)交通運(yùn)輸部FMVSS標(biāo)準(zhǔn),歐洲ECE標(biāo)準(zhǔn)和日本工業(yè)標(biāo)準(zhǔn)(JIS)鋪下了奠基石。這種新尾燈模跟飛利浦汽車照明公司的產(chǎn)品相似,對(duì)單一化LED照明設(shè)計(jì)有著重要意義同時(shí)加速了各汽車制造商對(duì)LED照明解決方案的采用。1.2 器件的發(fā)展一般的PLD的集成度很高,足以滿足設(shè)計(jì)一般的數(shù)字系統(tǒng)的需要。這樣就可以由設(shè)計(jì)人員自行編程而把一個(gè)數(shù)字系統(tǒng)“集成”在一片PLD上,而不必去請(qǐng)芯片制造廠商設(shè)計(jì)和制作專用的集成電路芯片了。早期的可編程邏輯器件只有可編程只讀存貯器(PROM)、紫外線可按除只讀存貯器(EPROM)和電可擦除只讀存貯器(EEPROM)三種。由于結(jié)構(gòu)的

5、限制,它們只能完成簡(jiǎn)單的數(shù)字邏輯功能。 其后,出現(xiàn)了一類結(jié)構(gòu)上稍復(fù)雜的可編程芯片,即可編程邏輯器件,它能夠完成各種數(shù)字邏輯功能。典型的PLD由一個(gè)“與”門和一個(gè)“或”門陣列組成,而任意一個(gè)組合邏輯都可以用“與或”表達(dá)式來(lái)描述。所以,PLD能以乘積和的形式完成大量的組合邏輯功能。這一階段的產(chǎn)品主要有PAL和GAL。PAL由一個(gè)可編程的“與”平面和一個(gè)固定的“或”平面構(gòu)成,或門的輸出可以通過(guò)觸發(fā)器有選擇地被置為寄存狀態(tài)。PAL器件是現(xiàn)場(chǎng)可編程的,它的實(shí)現(xiàn)工藝有反熔絲技術(shù)、EPROM技術(shù)和EEPROM技術(shù)。還有一類結(jié)構(gòu)更為靈活的邏輯器件就是可編程邏輯陣列(PLA),它也由一個(gè)“與”平面和一個(gè)“或”平

6、面構(gòu)成,但是這兩個(gè)平面的連接關(guān)系是可編程的。PLA器件既有現(xiàn)場(chǎng)可編程的,也有掩膜可編程的。在PAL的基礎(chǔ)上,又發(fā)展了一種通用陣列邏輯GAL,如GAL16V8、GAL22V10 等。它采用了EEPROM工藝,實(shí)現(xiàn)了電可按除、電可改寫,其輸出結(jié)構(gòu)是可編程的邏輯宏單元,因而它的設(shè)計(jì)具有很強(qiáng)的靈活性,至今仍有許多人使用。這些早期的PLD器件的一個(gè)共同特點(diǎn)是可以實(shí)現(xiàn)速度特性較好的邏輯功能,但其過(guò)于簡(jiǎn)單的結(jié)構(gòu)也使它們只能實(shí)現(xiàn)規(guī)模較小的電路。為了彌補(bǔ)這一缺陷,20世紀(jì)80年代中期,Altera和Xilinx分別推出了類似于PAL結(jié)構(gòu)的擴(kuò)展型CPLD和與標(biāo)準(zhǔn)門陣列類似的FPGA,它們都具有體系結(jié)構(gòu)和邏輯單元靈

7、活、集成度高以及適用范圍寬等特點(diǎn)。這兩種器件兼容了PLD和通用門陣列的優(yōu)點(diǎn),可實(shí)現(xiàn)較大規(guī)模的電路,編程也很靈活。與門陣列等其它ASIC相比,它們又具有設(shè)計(jì)開(kāi)發(fā)周期短、設(shè)計(jì)制造成本低、開(kāi)發(fā)工具先進(jìn)、標(biāo)準(zhǔn)產(chǎn)品無(wú)需測(cè)試、質(zhì)量穩(wěn)定以及可實(shí)時(shí)在線檢驗(yàn)等優(yōu)點(diǎn),因此被廣泛應(yīng)用于產(chǎn)品的原型設(shè)計(jì)和產(chǎn)品生產(chǎn)(一般在10,000件以下)之中。幾乎所有應(yīng)用門陣列、PLD和中小規(guī)模通用數(shù)字集成電路的場(chǎng)合均可應(yīng)用FPGA和CPLD器件。1.3 可編程器件在汽車電子上的運(yùn)用在過(guò)去的3到4年中,PLD在汽車領(lǐng)域得到了空前的發(fā)展。PLD已經(jīng)在汽車信息娛樂(lè)和通信市場(chǎng)上得到了廣泛應(yīng)用,新興的汽車輔助駕駛設(shè)計(jì)也采用了PLD。在這一領(lǐng)

8、域中,某些應(yīng)用發(fā)展非常迅速,包括道路偏離報(bào)警、夜視和胎壓監(jiān)控系統(tǒng)等。在今后5年中,預(yù)計(jì)PLD的增長(zhǎng)至少為50%CAGR。PLD憑借其較低的成本結(jié)構(gòu)和較高的系統(tǒng)性能,進(jìn)入了主流汽車市場(chǎng)。與SAAP解決方案不同,PLD所具有的靈活性在汽車行業(yè)中受到普遍歡迎。PLD具有較低的芯片成本結(jié)構(gòu)、豐富的知識(shí)產(chǎn)權(quán)(IP)內(nèi)核、參考設(shè)計(jì)以及較長(zhǎng)的產(chǎn)品在市時(shí)間,而且PLD不存在ASIC那樣的前端流片(NRE)成本以及最小訂購(gòu)量的問(wèn)題,是系統(tǒng)設(shè)計(jì)成本效益的選擇,所以PLD是汽車市場(chǎng)發(fā)展的理想選擇。1.4 設(shè)計(jì)內(nèi)容和目標(biāo)根據(jù)計(jì)算機(jī)中狀態(tài)機(jī)原理,利用VHDL設(shè)計(jì)汽車尾燈控制器的各個(gè)模塊,并使用QUARTUS開(kāi)發(fā)平臺(tái)對(duì)各

9、模塊進(jìn)行仿真驗(yàn)證。汽車尾燈控制器的設(shè)計(jì)分為2個(gè)模塊:時(shí)鐘分頻模塊、汽車尾燈主控模塊。把各模塊整合后就形成了汽車尾燈控制器。通過(guò)輸入系統(tǒng)時(shí)鐘信號(hào)和相關(guān)的汽車控制信號(hào),汽車尾燈將正確顯示當(dāng)前汽車的控制狀態(tài)。1)汽車正常行駛(任何開(kāi)關(guān)都沒(méi)按)時(shí),所有等都不亮。2)汽車左轉(zhuǎn)(按下左轉(zhuǎn)開(kāi)關(guān))時(shí),左轉(zhuǎn)燈(左側(cè)LED燈)閃爍的亮。3)汽車右轉(zhuǎn)(按下右轉(zhuǎn)開(kāi)關(guān))時(shí),右轉(zhuǎn)燈(右側(cè)LED燈)閃爍的亮。4)汽車剎車(按下剎車開(kāi)關(guān))時(shí),剎車燈(兩個(gè)燈泡)一直亮。5)汽車晚上行駛(按下晚上行駛開(kāi)關(guān))時(shí),晚上照明燈(兩個(gè)LED燈)一直亮。6)汽車倒車(按下倒車開(kāi)關(guān))時(shí),蜂鳴器有規(guī)律的鳴叫(本次設(shè)計(jì)擴(kuò)展功能)。1.5 方案論

10、證與選擇隨著電子技術(shù)的日新月異和科學(xué)技術(shù)的相輔相成,如實(shí)現(xiàn)汽車尾燈控制器的方案主要有基于純數(shù)字電路設(shè)計(jì)、基于單片機(jī)設(shè)計(jì)和基于可編程邏輯器件設(shè)計(jì)三種。下面將從技術(shù)可行性和經(jīng)濟(jì)上闡述這三種方案。純數(shù)字電路的設(shè)計(jì):圖1-1純數(shù)字電路總電路圖基于純數(shù)字電路實(shí)現(xiàn)汽車尾燈控制器的原理圖如圖1-1所示,它主要由計(jì)數(shù)器芯片、集成555定時(shí)器和基本邏輯門電路、控制開(kāi)關(guān)等構(gòu)成。但是純數(shù)字電路設(shè)計(jì)汽車尾燈系統(tǒng)布線復(fù)雜,體積、功耗大,可靠性差,交流和修改不方便,設(shè)計(jì)周期長(zhǎng)。所以傳統(tǒng)的設(shè)計(jì)開(kāi)發(fā)過(guò)程、調(diào)試過(guò)程十分繁鎖,而且由于電子器件之間的互相干擾,電路的穩(wěn)定性和可移植性比較差,也由于其體積較大,性價(jià)比不高,已不適應(yīng)電子

11、設(shè)計(jì)的發(fā)展要求?;趩纹瑱C(jī)設(shè)計(jì)汽車尾燈控制:圖1-2單片機(jī)設(shè)計(jì)框架圖單片機(jī)的設(shè)計(jì)實(shí)現(xiàn)汽車尾燈控制的總框架圖如圖1-2所示。單片機(jī)的優(yōu)缺點(diǎn):?jiǎn)纹瑱C(jī)設(shè)計(jì)有群眾基礎(chǔ),易上手,片源廣。其突出的特點(diǎn)是體積小,功耗低,精簡(jiǎn)指令集,抗干擾性好,可靠性高,有較強(qiáng)的模擬接口,代碼保密性好。在一些小型的應(yīng)用中,比傳統(tǒng)的51單片機(jī)更加靈活,外圍電路更少,因而得到了廣泛的應(yīng)用。而且大部分芯片有其兼容的FLASH程序存儲(chǔ)器的芯片,支持低電壓擦寫,擦寫速度快,允許多次擦寫,程序修改方便。它的特點(diǎn)是:速度快,CPU處理能力強(qiáng),能移植操作系統(tǒng)。但是單片機(jī)設(shè)計(jì)只適合民用,商用,不適合工業(yè)用途,原因有以下幾點(diǎn):1)低速 單片機(jī)靠

12、執(zhí)行指令來(lái)完成各種功能,不論多高的工作時(shí)鐘頻率或多么好的指令時(shí)序,其排隊(duì)式串行指令執(zhí)行方式使得工作速度和效率大打折扣。在高速實(shí)時(shí)仿真、高速數(shù)據(jù)采集等方面顯得力不從心。2)復(fù)位工作方式 單片機(jī)工作之初,需花一段時(shí)間經(jīng)歷復(fù)位過(guò)程;工作時(shí),在某種干擾性突變情況下,也會(huì)復(fù)位,復(fù)雜的復(fù)位過(guò)程很可能就是工作不可靠的根源。3)穩(wěn)定性不好。基于可編程邏輯器件的設(shè)計(jì):圖1-3總框架圖基于可編程邏輯器件實(shí)現(xiàn)汽車尾燈控制器的總框架圖如圖1-3所示。CPLD器件輸入引腳的箝位電平和輸出引腳的原始電平可預(yù)先設(shè)定,一開(kāi)機(jī)立即就能達(dá)到預(yù)定電平,狀態(tài)明確。各邏輯宏單元或邏輯塊的輸入信號(hào)僅需幾ns幾十ns就反映到輸出端,信號(hào)傳

13、輸效率很高,適合高速采樣等場(chǎng)合??删幊踢壿嫼陠卧蜻壿媺K之間的相互連線在同一封裝內(nèi),受外界干擾影響小,電磁兼容(EMC)性能好。然而,對(duì)設(shè)計(jì)者來(lái)說(shuō),CPLD器件最大的優(yōu)點(diǎn)在于可現(xiàn)場(chǎng)編程。改變邏輯關(guān)系時(shí),無(wú)需更改外部線路板,只需用圖形語(yǔ)言程序或硬件描述語(yǔ)言程序來(lái)改變電路,生成下載編輯軟件,通過(guò)下載電纜輸入CPLD器件即可,所以設(shè)計(jì)成功的各類邏輯功能塊有很好的兼容性和可移植性。此外還特別有利于新品試制,大大縮短了開(kāi)發(fā)周期,大幅度減少設(shè)計(jì)費(fèi)用,降低設(shè)計(jì)風(fēng)險(xiǎn)。汽車尾燈控制器要求高速、高靈敏度和高可靠性,而可編程邏輯器件(CPLD)不但具有高速、高靈敏度和高可靠而且還具有應(yīng)用靈活編程方便等優(yōu)點(diǎn),在性價(jià)比

14、方面可編程邏輯器件也有很大的優(yōu)勢(shì),這樣不但提高汽車的靈敏讀和可靠性,還降低了汽車尾燈的生產(chǎn)成本,汽車的行駛安全性也進(jìn)一步提高。綜上所述,對(duì)比以上三種方案,方案一穩(wěn)定性和可移植性比較差,方案二工作速度底和可靠行差,而方案三不但穩(wěn)定行和移植行好而且還有高速、高靈敏度和高可靠性等特點(diǎn),而且制作成本低,運(yùn)用靈活。最終本次設(shè)計(jì)選擇方案三。第2章 EDA、VHDL簡(jiǎn)介2.1 EDA技術(shù)2.1.1 EDA技術(shù)的概念EDA是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)的縮寫,在20世紀(jì)90年代初從計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助制造(CAM)、計(jì)算機(jī)輔助測(cè)試(CAT)和計(jì)算機(jī)

15、輔助工程(CAE)的概念發(fā)展而來(lái)的。EDA技術(shù)就是以計(jì)算機(jī)為工具,設(shè)計(jì)者在EDA軟件平臺(tái)上,用硬件描述語(yǔ)言HDL完成設(shè)計(jì)文件,然后由計(jì)算機(jī)自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布線和仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。2.1.2 EDA技術(shù)的特點(diǎn)利用EDA技術(shù)進(jìn)行電子系統(tǒng)的設(shè)計(jì),具有以下幾個(gè)特點(diǎn): 用軟件的方式設(shè)計(jì)硬件; 用軟件方式設(shè)計(jì)的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開(kāi)發(fā)軟件自動(dòng)完成的; 設(shè)計(jì)過(guò)程中可用有關(guān)軟件進(jìn)行各種仿真; 系統(tǒng)可現(xiàn)場(chǎng)編程,在線升級(jí); 整個(gè)系統(tǒng)可集成在一個(gè)芯片上,體積小、功耗低、可靠性高。因此,EDA技術(shù)是現(xiàn)代電子設(shè)計(jì)的發(fā)展趨勢(shì)。2.1

16、.3 EDA設(shè)計(jì)流程典型的EDA設(shè)計(jì)流程如下:1)文本/原理圖編輯與修改。首先利用EDA工具的文本或圖形編輯器將設(shè)計(jì)者的設(shè)計(jì)意圖用文本或圖形方式表達(dá)出來(lái)。2)編譯。完成設(shè)計(jì)描述后即可通過(guò)編譯器進(jìn)行排錯(cuò)編譯,變成特定的文本格式,為下一步的綜合做準(zhǔn)備。3)綜合。將軟件設(shè)計(jì)與硬件的可實(shí)現(xiàn)性掛鉤,是將軟件轉(zhuǎn)化為硬件電路的關(guān)鍵步驟。4)行為仿真和功能仿真。利用產(chǎn)生的網(wǎng)表文件進(jìn)行功能仿真,以便了解設(shè)計(jì)描述與設(shè)計(jì)意圖的一致性。5)適配。利用FPGA/CPLD布局布線適配器將綜合后的網(wǎng)表文件針對(duì)某一具體的目標(biāo)器件進(jìn)行邏輯映射操作,其中包括底層器件配置、邏輯分割、邏輯優(yōu)化、布局布線。適配報(bào)告指明了芯片內(nèi)資源的分

17、配與利用、引腳鎖定、設(shè)計(jì)的布爾方程描述情況。 6)功能仿真和時(shí)序仿真。7)下載。如果以上的所有過(guò)程都沒(méi)有發(fā)現(xiàn)問(wèn)題,就可以將適配器產(chǎn)生的下載文件通過(guò)FPGA/CPLD下載電纜載入目標(biāo)芯片中。8)硬件仿真與測(cè)試。2.2 硬件描述語(yǔ)言(VHDL)2.2.1 VHDL簡(jiǎn)介VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具有硬件特征的語(yǔ)句外,VHDL的語(yǔ)言形式和描述風(fēng)格與句法是十分類似于一般的計(jì)算機(jī)高級(jí)語(yǔ)言。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱設(shè)計(jì)

18、實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱可是部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,一旦其內(nèi)部開(kāi)發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計(jì)的基本點(diǎn)。2.2.2 VHDL語(yǔ)言的特點(diǎn)1)用VHDL代碼而不是用原理圖進(jìn)行設(shè)計(jì),意味著整個(gè)電路板的模型及性能可用計(jì)算機(jī)模擬進(jìn)行驗(yàn)證。2)VHDL元件的設(shè)計(jì)與工藝無(wú)關(guān),與工藝獨(dú)立,方便工藝轉(zhuǎn)換。3)VHDL支持各種設(shè)計(jì)方法,自頂向下、自底向上或者混合的都可以。4)可以進(jìn)行從系統(tǒng)級(jí)到邏輯級(jí)的描述,即混合描述。5.VHDL

19、區(qū)別于其他的HDL,已形成標(biāo)準(zhǔn),其代碼在不同的系統(tǒng)中可交換建模。第3章 設(shè)計(jì)實(shí)現(xiàn)基于可編程的汽車尾燈控制器由2個(gè)模塊組成,分別為:時(shí)鐘分頻模塊、汽車尾燈主控模塊。以下介紹各模塊的詳細(xì)設(shè)計(jì):3.1 汽車尾燈控制器總體結(jié)構(gòu)圖系統(tǒng)的整體組裝設(shè)計(jì)原理如圖3-1所示。3.2 時(shí)鐘分頻模塊整個(gè)時(shí)鐘分頻模塊的工作框圖如圖3-2所示。圖3-2時(shí)鐘分頻模塊時(shí)鐘分頻模塊由VHDL程序來(lái)實(shí)現(xiàn),下面是VHDL代碼:PROCESS (clkslow)BEGIN if (clkslow'event and clkslow = '1') then clkdiv <= clkdiv + '

20、;1' end if; clr<=clkdiv(7); END PROCESS;END a;其中clkslow是時(shí)鐘信號(hào)輸入頻率為1000HZ,clr是時(shí)鐘信號(hào)輸出頻率為2HZ。clkdiv <= clkdiv + '1'是計(jì)算語(yǔ)句,clr<=clkdiv(7)是將clkdiv(7)的值賦給clr,實(shí)現(xiàn)500分頻的功能。3.3 汽車尾燈主控模塊汽車尾燈主控模塊工作框圖如圖3-3所示。圖3-3汽車控制主模塊汽車控制主模塊由VHDL程序來(lái)實(shí)現(xiàn),下面是VHDL代碼:PROCESSBEGIN wait until clk'event and clk=&

21、#39;1' case current_state is when QA=> if L='1'and R='0'THEN current_state<=QB; ELSIF L='0'AND R='1'THEN current_state<=QC; ELSIF L='0'AND R='0'THEN current_state<=QA; LL<='0'RR<='0' END IF; WHEN QB=> /左轉(zhuǎn) IF L=&

22、#39;1'AND R='0'THEN current_state<=QB; RR<='0'LL<=clr; ELSE current_state<=QA; end if; when QC=> /右轉(zhuǎn) IF L='0'AND R='1'THEN current_state<=QC; RR<=clr;LL<='0' ELSE current_state<=QA; END IF; end case;IF W='1'THEN /夜間行駛WW&l

23、t;='1'else WW<='0'END IF;if S='1'THEN /剎車SS<='1'ELSE SS<='0'END IF;if D='1'THEN /倒車(本次設(shè)計(jì)的擴(kuò)展功能)DD<=clr;ELSE DD<='0'END IF; end PROCESS;END CX;L,R,S,W,D分別代表左轉(zhuǎn),右轉(zhuǎn),剎車,夜間行駛,倒車的輸入都為高電平有效。LL,RR,SS,WW,DD分別代表左轉(zhuǎn),右轉(zhuǎn),剎車,夜間行駛,倒車的輸輸出。其中L和R的控制原

24、理是利用計(jì)算機(jī)的狀態(tài)機(jī)原理來(lái)實(shí)現(xiàn)的。當(dāng)現(xiàn)在狀態(tài)current_state為QA時(shí),如果L=1,R=0即按下左轉(zhuǎn)有效右轉(zhuǎn)無(wú)效時(shí),狀態(tài)QB就賦給現(xiàn)在狀態(tài)current_state。現(xiàn)在狀態(tài)current_state為QB情況下,如果L=1,R=0那么時(shí)鐘信號(hào)clr的值就賦給LL,也就是說(shuō)LL以頻率為2HZ輸出,而RR書出為0。其他情況下LL和RR輸出都為0。同樣的道理,現(xiàn)在狀態(tài)current_state在QC的情況下,如果L=0,R=1那么clr的值就賦給RR,LL輸出為O。S,W,D則是利用簡(jiǎn)單的IF語(yǔ)句對(duì)相應(yīng)的SS,WW,DD輸出進(jìn)行控制。因此汽車才能同時(shí)出現(xiàn)倒車,夜間照明,剎車左轉(zhuǎn)或右轉(zhuǎn)的功能,而不能同時(shí)出現(xiàn)左轉(zhuǎn)和右轉(zhuǎn)的功能。(其中倒車語(yǔ)句為本次設(shè)計(jì)的擴(kuò)展功能)第4章 系統(tǒng)仿真4.1 分頻模塊仿真及分析圖4-1 分頻模塊仿真波形如圖4-1所示輸入時(shí)鐘脈沖信號(hào)clkslow的頻率為1000HZ經(jīng)過(guò)分頻電路后得到輸出頻率為2H

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論