




版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、西安工程大學(xué)本科畢業(yè)設(shè)計(jì)(論文)摘 要本設(shè)計(jì)是DSP(2812)最小系統(tǒng)設(shè)計(jì),DSP是一門涉及許多學(xué)科而又廣泛應(yīng)用于許多領(lǐng)域的新興學(xué)科。DSP指的是數(shù)字信號(hào)處理器。數(shù)字信號(hào)處理器是一種適合完成數(shù)字信號(hào)處理運(yùn)算的處理器。20世紀(jì)60年代以來(lái),隨著計(jì)算機(jī)和信息技術(shù)的飛速發(fā)展,DSP技術(shù)應(yīng)運(yùn)而生并得到迅速的發(fā)展。在過(guò)去的二十多年時(shí)間里,數(shù)字信號(hào)處理已經(jīng)在通信等領(lǐng)域得到極為廣泛的應(yīng)用。數(shù)字信號(hào)處理是利用計(jì)算機(jī)或?qū)S锰幚碓O(shè)備,以數(shù)字形式對(duì)信號(hào)進(jìn)行采集,變換,濾波,固執(zhí),增強(qiáng),壓縮,識(shí)別等處理,已得到符合人們需要的信號(hào)形式。數(shù)字信號(hào)處理是以眾多科學(xué)為理論基礎(chǔ)的,它所涉及的范圍及其廣泛。在科學(xué)領(lǐng)域,微積分,
2、概率統(tǒng)計(jì),隨機(jī)過(guò)程,數(shù)值分析等都是數(shù)字信號(hào)處理的基本工具。與網(wǎng)絡(luò)理論,信號(hào)與系統(tǒng),控制論,通信理論,故障診斷等也密切相關(guān),可以說(shuō),數(shù)字信號(hào)處理是把許多經(jīng)典的理論體系作為自己的理論基礎(chǔ),同時(shí)又使自己成為一系列新興學(xué)科的理論基礎(chǔ)。DSP主要應(yīng)用在數(shù)字信號(hào)處理中,目的是為了能夠滿足實(shí)時(shí)信號(hào)處理的要求,因此需要將數(shù)字信號(hào)處理中的常用運(yùn)算執(zhí)行的盡可能快。這就決定了DSP的特點(diǎn)和關(guān)鍵技術(shù)。適合數(shù)字信號(hào)處理的技術(shù):DSP包涵乘法器,累加器,特殊地址發(fā)生器,領(lǐng)開(kāi)銷循環(huán)等;提高處理速度的技術(shù):流水線技術(shù),并行處理技術(shù),超常指令等。DSP對(duì)元件值的容限不敏感,受溫度、環(huán)境等外部參與影響??;容易實(shí)現(xiàn)集成;VLSI
3、可以時(shí)分復(fù)用,共享處理器;方便調(diào)整處理器的系數(shù)實(shí)現(xiàn)自適應(yīng)濾波;可實(shí)現(xiàn)模擬處理不能實(shí)現(xiàn)的功能:線性相位、多抽樣率處理、級(jí)聯(lián)、易于存儲(chǔ)等;可用于頻率非常低的信號(hào)。關(guān)鍵詞 典型特征,體系結(jié)構(gòu),程序流程ABSTRACTThis design is the DSP (2812) Minimum system design, DSP is a widely used but many disciplines involved in many areas of emerging disciplines. We often say that the DSP refers to the digital sign
4、al processor. Digital Signal Processor is a complete cross-signal processing for the processor. Since the 60s of the 20th century, with the computers and the rapid development of information technology, DSP technology and the rapid development emerged. In the past twenty years time, digital signal p
5、rocessing in communications and other fields has been very widely used.Digital signal processing is to use a computer or dedicated processing equipment to collect the signal in digital form, transform, filter, stubborn, enhancement, compression, recognition processing needs of the people has been th
6、e signal form. Digital signal processing theory is based on a number of science-based, its scope and wide. For example, in science, calculus, probability and statistics, stochastic processes, numerical analysis, digital signal processing are the basic tools. And network theory, signals and systems,
7、control theory, communication theory, fault diagnosis, etc., are closely related, can be said that digital signal processing is to many classical theoretical system as its theoretical basis, but also to become a new discipline theoretical basis. DSP is mainly used in digital signal processing, desig
8、ned to meet real-time signal processing requirements, requiring digital signal processing of common operations performed as quickly as possible. This determines the DSP features and key technologies. Suitable for digital signal processing techniques: DSP indulgence multiplier, accumulator, special a
9、ddress generator, leading overhead loops; improve the speed of the technology: pipelining, parallel processing, exceptional instruction and so on. DSP of the component value of tolerance is not sensitive to temperature, and environment outside involvement affect small; easy integration; VLSI can be
10、time-division multiplexing, shared processor; facilitate the adjustment of the processor factor to achieve adaptive filter; to analog processing can not Implementation of functions: linear phase, multirate processing, cascade, easy storage; can be used to frequency of very low signal. KEY WORDS :Typ
11、ical characteristics, Architecture, Program flow目錄前 言1第1章 緒論21.1 研究背景21.2 系統(tǒng)概述21.3 論文完成的工作3第2章 DSP(2812)最小系統(tǒng)的硬件42.1 DSP(2812)性能概述42.2 TMS320F2812的引腳圖及功能62.3 相關(guān)硬件設(shè)計(jì)122.3.1 DSP與液晶模塊的直接訪問(wèn)接口122.3.2 基于CPLD的硬件等待電路132.4 DSP最小系統(tǒng)142.4.1 電源轉(zhuǎn)換142.4.2片外程序和數(shù)據(jù)存儲(chǔ)器142.4.3 時(shí)鐘電路152.4.4 JTAG仿真接口電路152.5 硬件的調(diào)試162.5.1 電路
12、測(cè)試及目標(biāo)板識(shí)別162.5.2 事件管理器產(chǎn)生PWM波功能測(cè)試162.5.3 基于串口通信的數(shù)據(jù)采集功能測(cè)試16第3章 軟件的設(shè)計(jì)183.1 軟件簡(jiǎn)介183.2 基本功能183.2.1 2812讀寫時(shí)序183.2.2 液晶顯示模塊的讀寫時(shí)序193.2.3 F2812的XREADY信號(hào)203.2.4 相關(guān)VHDL213.2.5 DSP對(duì)液晶模塊連續(xù)的讀寫訪問(wèn)223.3 TMS320F2812 系統(tǒng)設(shè)計(jì)中應(yīng)注意的幾個(gè)問(wèn)題233.3.1 速度和時(shí)鐘233.3.2 中斷的使用243.3.3 Flash Ram的使用26第4章 結(jié)論27參考文獻(xiàn)28致 謝30 III西安工程大學(xué)本科畢業(yè)設(shè)計(jì)(論文)前 言
13、隨著計(jì)算機(jī)和信息技術(shù)的飛速發(fā)展,DSP技術(shù)已經(jīng)應(yīng)用到我們生活的每一個(gè)角落,從軍用到民用,從航空航天到生產(chǎn)生活,都越來(lái)越多的使用DSP。DSP的主要應(yīng)用有數(shù)字化移動(dòng)電話,數(shù)據(jù)調(diào)制解調(diào)器,磁盤/光盤控制器需求,圖形圖像處理需求,汽車電子系統(tǒng)等。應(yīng)用DSP的領(lǐng)域可以說(shuō)是不勝枚舉。DSP在航空航天方面,主要用于雷達(dá)和聲納信號(hào)處理;在通信方面,主要用于移動(dòng)電話,IP電話,ADSL和HFC的信號(hào)傳輸;在控制方面,主要用于電機(jī)控制,光驅(qū)和因公安驅(qū)動(dòng)器;在電子娛樂(lè)方面,主要用于高清晰電視,機(jī)頂盒,家庭影院,DVD等應(yīng)用;還有數(shù)字相機(jī),網(wǎng)絡(luò)相機(jī)等等??梢哉f(shuō)沒(méi)有DSP就沒(méi)有對(duì)互聯(lián)網(wǎng)的訪問(wèn),也沒(méi)有多媒體,也沒(méi)有無(wú)線
14、通信。隨著科學(xué)技術(shù)的發(fā)展,將會(huì)出現(xiàn)更多的DSP新應(yīng)用領(lǐng)域。DSP的開(kāi)發(fā)工具包括各種仿真軟件,調(diào)試軟件,硬件仿真器,評(píng)估板等,中國(guó)的DSP市場(chǎng)增長(zhǎng)迅速,一直與國(guó)際DSP技術(shù)保持同步的態(tài)勢(shì)。隨著中國(guó)社會(huì)數(shù)字化,信息化的進(jìn)展和中國(guó)經(jīng)濟(jì)的持續(xù)穩(wěn)定增長(zhǎng),刺激了電子信息產(chǎn)業(yè)和市場(chǎng)的快速發(fā)展,推動(dòng)了DSP的廣泛應(yīng)用。本文給出DSP芯片TMS320F2812的硬件的最小系統(tǒng)設(shè)計(jì),然后增加一個(gè)外擴(kuò)用來(lái)演示DSP芯片2812的運(yùn)行。論文的結(jié)構(gòu)如下,共分四個(gè)章節(jié)。第一章描述了DSP2812課題的研究背景,意義以及發(fā)展現(xiàn)狀,并根據(jù)DSP2812的原理和特點(diǎn)及設(shè)計(jì)要求,給出了設(shè)計(jì)實(shí)現(xiàn)的基本方案。第二章主要給出了系統(tǒng)的硬
15、件設(shè)計(jì),根據(jù)具體功能的實(shí)現(xiàn),對(duì)硬件電路進(jìn)行詳細(xì)的分析。第三章為系統(tǒng)軟件設(shè)計(jì)。第四章為工作總結(jié)和展望,主要對(duì)本次設(shè)計(jì)進(jìn)行總結(jié)并對(duì)更深入的研究本課題做出了展望。第1章 緒論1.1 研究背景數(shù)字化已成為電子、通信和信息技術(shù)的發(fā)展趨勢(shì)與潮流。在這種趨勢(shì)與潮流的推動(dòng)下,數(shù)字信號(hào)處理的理論與實(shí)現(xiàn)手段獲得了快速的發(fā)展,已成為當(dāng)代發(fā)展最快的學(xué)科之一。而DSP芯片作為數(shù)字信號(hào)處理,尤其是實(shí)時(shí)數(shù)字信號(hào)處理的主要方法和手段,自20世紀(jì)70年代末、80年代初誕生以來(lái),無(wú)論在性能上還是在價(jià)格上,都取得了突破性的迅猛發(fā)展。從定點(diǎn)到浮點(diǎn)直到并行處理芯片,DSP芯片的功能越來(lái)越強(qiáng)、速度越來(lái)越快例如TI公司的并行處理芯片C60
16、00系列的速度達(dá)到了2400MIPS的高指標(biāo);而且,DSP芯片的價(jià)格越來(lái)越低,開(kāi)發(fā)與設(shè)計(jì)手段越來(lái)越多樣化、越來(lái)越容易。越來(lái)越高的性能價(jià)格比、日漸完善的開(kāi)發(fā)方式使DSP的應(yīng)用范圍越來(lái)越大,已經(jīng)廣泛地應(yīng)用于通信、雷達(dá)、聲納、遙感、生物醫(yī)學(xué)、機(jī)器人、控制、精密機(jī)械、語(yǔ)音和圖像處理等領(lǐng)域??梢院敛豢鋸埖卣f(shuō),以DSP芯片為基礎(chǔ)的數(shù)字信號(hào)處理技術(shù)已成為當(dāng)代電子、通信和信息處理技術(shù)不可或缺的重要手段。1.2 系統(tǒng)概述DSP2812功能比單片機(jī)強(qiáng)大的多,TMS320F2812 是美國(guó)TI 公司推出的C2000 平臺(tái)上的定點(diǎn)32 位DSP 芯片,適合用于工業(yè)控制,電機(jī)控制等,用途廣泛,應(yīng)該相當(dāng)于單片的升級(jí)版。運(yùn)
17、行時(shí)鐘也快可達(dá)150MHz,處理性能可達(dá)150MIPS,每條指令周期6.67ns。IO口豐富,對(duì)用戶一般的應(yīng)用來(lái)說(shuō)足夠了。兩個(gè)串口。具有12位的03.3v的AD轉(zhuǎn)換等。具有片內(nèi)128k16位的片內(nèi)FLASH,18K 16 位的SRAM,一般的應(yīng)用系統(tǒng)可以不要外擴(kuò)存儲(chǔ)器。1.3 論文完成的工作(1)技術(shù)方案及技術(shù)路徑。(2)硬件原理圖。(3)制作及調(diào)試。 (4)嵌入式軟件編程及調(diào)試。第2章 DSP(2812)最小系統(tǒng)的硬件2.1 DSP(2812)性能概述(1)F2812 DSP芯片采用高性能靜態(tài)CMOS技術(shù)1 主頻高達(dá)150MHz,每個(gè)時(shí)鐘周期為6.67ns。2采用低電壓供電,當(dāng)主頻為135M
18、Hz時(shí),內(nèi)核電壓為1.8V,主頻150MHz時(shí)內(nèi)核電壓為1.9V,I/O引腳電壓為3.3V。(2)支持JTAG在線仿真接口(3)32位高性能處理器1 支持16bX16b和32bX32b的乘法加法運(yùn)算。2 支持16bX16b雙乘法運(yùn)算。3 采用哈佛總線結(jié)構(gòu)模式。 4 快速的中斷響應(yīng)和中斷處理能力。5 統(tǒng)一的存儲(chǔ)設(shè)計(jì)模式。6 兼容C/C+語(yǔ)言以及匯編語(yǔ)言。(4) 片內(nèi)存儲(chǔ)空間1片內(nèi)FLASH空間大小為128KX16b,分為4個(gè)8KX16b和6個(gè)16KX16b存儲(chǔ)段。 2 OTP ROM空間大小1KX16b。3 L0、L1兩塊4KX16b單地址尋址隨機(jī)存儲(chǔ)器(SARAM)。4 H0:一塊8KX16b
19、隨機(jī)存儲(chǔ)器(SARAM)。 5 M0、M1:兩塊1KX16bSARAM。(5) Boot ROM空間空間大小為4KX16b,內(nèi)含軟件啟動(dòng)模式以及標(biāo)準(zhǔn)數(shù)學(xué)函數(shù)庫(kù)。(6) 外部接口1 高達(dá)1MX16b的總存儲(chǔ)空間。2 可編程的等待時(shí)間。3 可編程的等待讀寫時(shí)序。4 3個(gè)獨(dú)立的片選信號(hào)。(7) 時(shí)鐘和系統(tǒng)控制1 支持動(dòng)態(tài)鎖相環(huán)倍頻。2 片內(nèi)振蕩器。3 內(nèi)含看門狗定時(shí)模塊。(8)3個(gè)外部中斷(9)外設(shè)中斷模塊(PIE)可以支持45個(gè)外設(shè)中斷(10)3 個(gè)32位CPU定時(shí)器(11)128位安全密鑰 1 可以包含F(xiàn)lash ROM OTP以及L0 L1SARAM。2 防止系統(tǒng)硬件、軟件被修改。(12)用于
20、控制電機(jī)的外設(shè)1兩路事件管理(EVA、EVB)。(13)串行通信端口1 串行外設(shè)接口SPI。2 兩路串行通信接口SCI,標(biāo)準(zhǔn)URAT口。3 增強(qiáng)型CAN模塊(eCAN)。4 多通道緩沖串行接口(MSBSP)。(14)12位ADC轉(zhuǎn)換模塊1 2X8路輸入通道。2 兩個(gè)采樣保持器。3 單一或級(jí)聯(lián)轉(zhuǎn)換模式。4 最高轉(zhuǎn)換速度80ns/12.5Msps。(15)56個(gè)通用GPIO口(16)先進(jìn)的仿真模式1 具有實(shí)時(shí)仿真及設(shè)置斷點(diǎn)的功能。2 支持硬件仿真。(17)開(kāi)發(fā)工具1 DSP集成環(huán)境CCS。2 JTAG仿真器。(18)低電模式和電源存儲(chǔ)1 支持IDLE、STANDBY、HALT模式。2 禁止/使能獨(dú)
21、立外設(shè)時(shí)鐘。(19)封裝1 179引腳BGA封裝,帶擴(kuò)展存儲(chǔ)接口。2 176引腳PGF封裝,帶擴(kuò)展存儲(chǔ)接口。2.2 TMS320F2812的引腳圖及功能如圖2-1為TMS320F2812引腳圖以及各個(gè)引腳的作用。 圖2-1 TMS320F2812引腳圖 XINTF信號(hào)XA0XA18 - 19位地址總線。XD0XD15 - 16位數(shù)據(jù)總線。XMP/MC - 1 - 微處理器模式 - XINCNF7有效。 0 - 微計(jì)算機(jī)模式 - XINCNF7無(wú)效。XHOLD - 外部DMA保持請(qǐng)求信號(hào)。XHOLD為低電平時(shí)請(qǐng)求XINTF釋放外部總線,并把所有的總線與選通端置為高阻態(tài)。當(dāng)對(duì)總線的操作完成且沒(méi)有對(duì)
22、XINTF進(jìn)行訪問(wèn)時(shí),XINTF釋放總線。此信號(hào)是異步輸入并與XTIMCLK同步。XHOLDA - 外部DMA保持確認(rèn)信號(hào)。當(dāng)XINTF響應(yīng)XHOLD的請(qǐng)求時(shí)XHOLDA呈低電平,所有的XINTF總線和選通端呈高阻態(tài)。XHOLD和XHOLDA信號(hào)同時(shí)發(fā)出。當(dāng)XHOLDA有效(低)時(shí)外部器件只能使用外部總線。XZCS0AND1 - XINTF區(qū)域O和區(qū)域1的片選,當(dāng)訪XINTF區(qū)域0或1時(shí)有效(低)。XZCS2 - XINTF區(qū)域2的片選,當(dāng)訪XINTF區(qū)域2時(shí)有效(低)。XZCS6AND7 - XINTF區(qū)域6和區(qū)域7的片選,當(dāng)訪XINTF區(qū)域6或7時(shí)有效(低)。XWE - 寫有效。有效時(shí)為低
23、電平。寫選通信號(hào)是每個(gè)區(qū)域操作的基礎(chǔ),由XTIMINGX寄存器的前一周期、當(dāng)前周期和后一周期的值確定。XRD - 讀有效。低電平讀選通。讀選通信號(hào)是每個(gè)區(qū)域操作的基礎(chǔ),由xTIMINGX寄存器的前一周期、當(dāng)前周期和后一周期的值確定。注意:XRD和XWE是互斥信號(hào)。XR/W - 通常為高電平,當(dāng)為低電平時(shí)表示處于寫周期,當(dāng)為高電平時(shí)表示處于讀周期。XREADY - 數(shù)據(jù)準(zhǔn)備輸入,被置1表示外設(shè)已為訪問(wèn)做好準(zhǔn)備。XREADY可被設(shè)置為同步或異步輸入。在同步模式中,XINTF接口塊在當(dāng)前周期結(jié)束之前的一個(gè)XTIMCLK時(shí)鐘周期內(nèi)要求XREADY有效。在異步模式中,在當(dāng)前的周期結(jié)束前XINTF接口塊以
24、XTIMCLK的周期作為周期對(duì)XREADY采樣3次。以XTIMCLK頻率對(duì)XREADY的采樣與XCLKOUT的模式無(wú)關(guān)。JTAG和其他信號(hào)X1/XCLKIN - 振蕩器輸入內(nèi)部振蕩器輸入,該引腳也可以用來(lái)提供外部時(shí)鐘。C28x能夠使用一個(gè)外部時(shí)鐘源,條件是要在該引腳上提供適當(dāng)?shù)尿?qū)動(dòng)電平,為了適應(yīng)1.8V內(nèi)核數(shù)字電源(VDD),而不是3.3V的I/O電源(VLDIO)??梢允褂靡粋€(gè)嵌位二極管去嵌位時(shí)鐘信號(hào),以保證它的邏輯高電平不超過(guò)VDD(1.8V或1.9V)或者去使用一個(gè)1.8V的振蕩器。X2 - 振蕩器輸出。XCLKOUT - 源于SYSCLKOUT的單個(gè)時(shí)鐘輸出,用來(lái)產(chǎn)生片內(nèi)和片外等待狀態(tài)
25、,作為通用時(shí)鐘源。XCLKOU丁與SYSCLKOUT的頻率或者相等,或是它的1/2,或是l/4。復(fù)位時(shí)XCLKOUTSYSCLKOUT/4。TESTSEL - 測(cè)試引腳,為TI保留,必須接地。TEST1 - 測(cè)試引腳,為TI保留,必須懸空。TEST2 - 測(cè)試引腳,為TI保留,必須懸空。TMS - JTAG測(cè)試模式選擇端,有內(nèi)部上拉功能,在TCK的上升沿TAP控制器計(jì)數(shù)一系列的控制輸入。TDI - 帶上拉功能的JTAG測(cè)試數(shù)據(jù)輸入端,在TCK的上升沿,TDI被鎖存到選擇寄存器、指令寄存器或數(shù)據(jù)寄存器中。TDO - JTAG掃描輸出,測(cè)試數(shù)據(jù)輸出。在TCK的下降沿將選擇寄存器的內(nèi)容從TDO移出。
26、TCK - JTAG測(cè)試時(shí)鐘,帶有內(nèi)部上拉功能。TRST - 有內(nèi)部上拉的JTAG測(cè)試復(fù)位。當(dāng)它為高電平時(shí)掃描系統(tǒng)控制器件的操作。若信號(hào)懸空或?yàn)榈碗娖?,器件以功能模式操作,測(cè)試復(fù)位信號(hào)被忽略。注意:TRST上不要用上拉電阻。它內(nèi)部有上拉部件。在強(qiáng)噪聲的環(huán)境中需要附加上拉電阻,此電阻值根據(jù)調(diào)試器設(shè)計(jì)的驅(qū)動(dòng)能力而定。一般取22K即能提供足夠的保護(hù)。因?yàn)橛辛诉@種應(yīng)用特性,所以使得調(diào)試器和應(yīng)用目標(biāo)板都有合適且有效的操作。EMU0 - 帶上拉功能的仿真器I/O口引腳0,當(dāng)TGST為高電平時(shí),此引腳用作中斷輸入。該中斷來(lái)自仿真系統(tǒng),并通過(guò)JTAG掃描定義為輸入/輸出。EMU1 - 仿真器引腳1,當(dāng)TGST
27、為高電平時(shí),此引腳輸出無(wú)效,用作中斷輸入。該中斷來(lái)自仿真系統(tǒng)的輸入,通過(guò)JTAG掃描定義為輸入/輸出。XRS - 器件復(fù)位(輸入)及看門狗復(fù)位(輸出)。器件復(fù)位,XRS使器件終止運(yùn)行,PC指向地址0x3FFFCO。當(dāng)XRS為高電平時(shí),程序從PC所指出的位置開(kāi)始運(yùn)行。當(dāng)看門狗產(chǎn)生復(fù)位時(shí),DSP將該引腳驅(qū)動(dòng)為低電平,在看門向復(fù)位期間,低電平將持續(xù)512個(gè)XCLKIN周期。該引腳的輸出緩沖器是一個(gè)帶有內(nèi)部上拉(典型值100mA)的開(kāi)漏緩沖器,推薦該引腳應(yīng)該由一個(gè)開(kāi)漏設(shè)備去驅(qū)動(dòng)。ADC模擬輸入信號(hào)ADCINA7 ADCINA0 - 采樣/保持A的8通道模擬輸入。在器件未上電之前ADC引腳不會(huì)被驅(qū)動(dòng)。A
28、DCINB7 ADCINB0 - 采樣/保持B的8通道模擬輸入。在器件未上電之前ADC引腳不會(huì)被驅(qū)動(dòng)。ADCREFP - ADC參考電壓輸出(2V)。需要在該引腳上接一個(gè)低ESR(50m1.5歐姆)的10uf陶瓷旁路電容,另一端接至模擬地。ADCREFM - ADC參考電壓輸出(1V)。需要在該引腳上接一個(gè)低ESR(50m1.5歐姆)的10uf陶瓷旁路電容,另一端接至模擬地。ADCRESE-XT - ADC外部偏置電阻(24.9K)。ADCBGREFN - 測(cè)試引腳,為TI保留,必須懸空。AVDDREFBG - ADC模擬電源(3.3V)。AVSSREFBG - ADC模擬地。ADCLO -
29、普通低側(cè)模擬輸入。VSS1 - ADC數(shù)字地。VSSA1、2 - ADC模擬地。VDD1 - ADC數(shù)字電源(1.8V)。VDDA1、2 - ADC模擬電源(3.3V)。VDDAIO - I/O模擬電源(3.3V)。VSSAIO - I/O模擬地。電源信號(hào)VDD - 1.8V或1.9V核心數(shù)字電源。VSS - 內(nèi)核和數(shù)字I/O地。VDDAIO - I/O模擬電源(3.3V)。VDDIO - I/O數(shù)字電源(3.3V)。VSSAIO - I/O模擬地。VDD3VL - flash核電源(3.3V),上電后所有時(shí)間內(nèi)都應(yīng)將該引腳接至3.3V。GPIO和外設(shè)共用的管腳EV-A。PWM1-6。T1PW
30、M_T1CMP - 定時(shí)器1輸出。T2PWM_T2CMP - 定時(shí)器2輸出。CAP1_QEP1 - 捕獲輸入。CAP2_QEP2 - 捕獲輸入。CAP3_QEP11 - 捕獲輸入。TDIRA - 計(jì)數(shù)器方向。TCKINA - 計(jì)數(shù)器時(shí)鐘輸入。C1TRIP - 比較器1輸出。C2TRIP - 比較器2輸出。C3TRIP - 比較器3輸出。T1CTRIP_PDPINTA - 定時(shí)器1比較輸出。T2CTRIP/EVASOC - 定時(shí)器2比較輸出或EV-A啟動(dòng)外部AD轉(zhuǎn)換輸出。EV-B。PWM7-12。T3PWM_T3CMP - 定時(shí)器1輸出。T4PWM_T4CMP - 定時(shí)器2輸出。CAP4_QEP
31、12 - 捕獲輸入。CAP5_QEP4 - 捕獲輸入。CAP6_QEP3 - 捕獲輸入。TDIRB - 計(jì)數(shù)器方向。TCKINB - 計(jì)數(shù)器時(shí)鐘輸入。C4TRIP - 比較器4輸出。C5TRIP - 比較器5輸出。C6TRIP - 比較器6輸出。T3CTRIP_PDPINTB - 定時(shí)器3比較輸出。T4CTRIP/EVBSOC - 定時(shí)器4比較輸出或EV-B啟動(dòng)外部AD轉(zhuǎn)換輸出。中斷信號(hào)XINT_XBIO - XINT1或XBIO核心輸入。XINT2_ADCSOC - XINT2或開(kāi)始AD轉(zhuǎn)換。XINMI_XINT13 - XNMI或XINT13。SPISPISIMOA - SPI從動(dòng)輸入,主
32、動(dòng)輸出。SPISOMIA - SPI從動(dòng)輸出,主動(dòng)輸入。SPICLKA - SPI時(shí)鐘。SPISTEA - SPI從動(dòng)傳送使能。SCI-A,SCI-B。SCITXDA - SCI-A發(fā)送。SCIRXDA - SCI-A接收。SCITXDB - SCI-B發(fā)送。SCIRXDB - SCI-B接收。CANCANTXA - CAN發(fā)送。CANRXA - CAN接收。MCBSPMCLKXA - 發(fā)送時(shí)鐘。MCLKRA - 接收時(shí)鐘。MFSXA - 發(fā)送幀同步信號(hào)。MSXRA - 接收幀同步信號(hào)。MDXA - 發(fā)送串行數(shù)據(jù)。MDRA - 接收串行數(shù)據(jù)。XFCPU輸出XF_XPLLDIS - 引腳有3個(gè)功
33、能:1、XF一通用輸出引腳。2、XPLLDIS - 復(fù)位期間此引腳被采樣以檢查鎖相環(huán)PLL是否被使用,若該引腳采樣為低,PLL將被禁止。此時(shí),不能使用HALT和STANDBY模式。3、GPIO - 通用輸入/輸出功能。2.3 相關(guān)硬件設(shè)計(jì)2.3.1 DSP與液晶模塊的直接訪問(wèn)接口 這里,將液晶模塊映射在DSP的XZCS6區(qū)上,由于制造商已經(jīng)裝配好了液晶顯示驅(qū)動(dòng)和分壓電路,并提供了驅(qū)動(dòng)電路接口,使得液晶顯示模塊和微處理器的接口十分方便。該模塊共有13條信號(hào)線。RS是寄存器選擇,低電平選擇指令寄存器,高電平選擇數(shù)據(jù)寄存器。R/w是讀寫控制端,低電平寫顯示模塊,高電平讀顯示模塊。CSA、CSB為驅(qū)動(dòng)
34、器片選信號(hào)線,可以選擇相應(yīng)的顯示區(qū)域。E為允許輸入信號(hào)線(數(shù)據(jù)讀、寫操作允許信號(hào)),高電平有效。DB0DB7為數(shù)據(jù)線。功能框圖如圖2-2所示。圖2-2 模塊功能框圖 在實(shí)際電路設(shè)計(jì)中還需注意,由于該液晶顯示模塊是5 V設(shè)備,所以在連接控制線、數(shù)據(jù)線時(shí)需要加電平隔離和轉(zhuǎn)換器件??梢允褂?4LS245芯片。2.3.2 基于CPLD的硬件等待電路 由于DSP芯片需要通過(guò)XREADY信號(hào)來(lái)延長(zhǎng)讀、寫周期,使之與液晶顯示模塊的E的高電平信號(hào)相匹配,所以設(shè)計(jì)了外部硬件等待電路。該電路是通過(guò) CPLD芯片EPM7064S來(lái)實(shí)現(xiàn)的。EPM7064S是Ahera公司的MAX7000系列產(chǎn)品。它可以很容易地實(shí)現(xiàn)地
35、址譯碼、等待時(shí)序的插入,并且是通過(guò)編寫程序來(lái)實(shí)現(xiàn)各種邏輯的,容易修改,可移植性強(qiáng),便于調(diào)試。其中,它的輸入時(shí)鐘為TMS320F2812的輸出信號(hào)XCLKOUT。2.4 DSP最小系統(tǒng)2.4.1 電源轉(zhuǎn)換DSP最小系統(tǒng)僅有5V電源供電,由于DSP芯片供電電壓只能是3.3V,所以在設(shè)計(jì)電路時(shí),需要將5V電源轉(zhuǎn)換為3.3V給CPU供電,因此使用了TI公司的5V/3V的TPS7333Q高性能穩(wěn)壓芯片,并可提供上電復(fù)位信號(hào),該信號(hào)/RSDSP接到DSP的復(fù)位引腳上。該芯片最大輸出電流500mA。TPS7333Q輸出后的10f和0.1f的電容不能省略,否則得不到穩(wěn)定的3.3V電壓。圖2-3為電源轉(zhuǎn)換原理圖
36、。圖2-3 電源轉(zhuǎn)換原理圖2.4.2片外程序和數(shù)據(jù)存儲(chǔ)器為試過(guò)程,提高調(diào)試效率,系統(tǒng)擴(kuò)展了片外的程序存儲(chǔ)器,這樣就不用每次都把程序燒入片內(nèi)FLASH中,可以方便的在線調(diào)試。由于TMS320F2812采用20MHZ時(shí)鐘頻率,即時(shí)鐘周期為50ns,對(duì)存儲(chǔ)器的存儲(chǔ)速度要求較高,為此我們采用的是Cypress公司的Cy7c1021v芯片,其存儲(chǔ)時(shí)間為33ns,數(shù)據(jù)寬度是16位,容量64k。通過(guò)與門電路實(shí)現(xiàn)邏輯將其共用為程序RAM和數(shù)據(jù)RAM。該RAM的低32K被定義為數(shù)據(jù)空間,地址為0x00000x7FFF;高32K被定義為程序空間,地址為0x80000xFFFF。圖2-4為片外存儲(chǔ)器擴(kuò)展。圖2-4片
37、外存儲(chǔ)器擴(kuò)展2.4.3 時(shí)鐘電路TMS320 F2812 DSP的時(shí)鐘可以有兩種連接方式,即外部振蕩器方式和諧振器方式。本文采用的是外部有源時(shí)鐘方式,直接選擇一個(gè)3.3V供電的30MHz有源晶振實(shí)現(xiàn)。晶振電路如圖2-5所示。圖 2-5時(shí)鐘電路2.4.4 JTAG仿真接口電路幾乎所有的高速控制器和可編程器件都配有標(biāo)準(zhǔn)仿真接口JTAG,F(xiàn)2812也不例外。JTAG掃描邏輯電路用于仿真和測(cè)試,采用JTAG可實(shí)現(xiàn)在線仿真,同時(shí)也.是調(diào)試過(guò)程裝載數(shù)據(jù)、代碼的唯一通道。通過(guò)JTAG接口可將仿真器與目標(biāo)系統(tǒng)相連接。為了與仿真器通信,DSP控制板必須帶有14引腳的雙排直插管座。F2812和14針?lè)抡娌遄B接的
38、電路如圖2-6。圖2-6 JTAG接口2.5 硬件的調(diào)試2.5.1 電路測(cè)試及目標(biāo)板識(shí)別監(jiān)測(cè)系統(tǒng)輸入和輸出工作電壓后,監(jiān)測(cè)上電復(fù)位及手動(dòng)復(fù)位電路工作情況。利用DSP仿真器進(jìn)行硬件仿真,進(jìn)入CCS壞境,識(shí)別目標(biāo)器件,表明硬件基本正常。2.5.2 事件管理器產(chǎn)生PWM波功能測(cè)試 TMS320 F2812內(nèi)核集成的兩個(gè)事件管理器EVA和EVB提供了強(qiáng)大的控制功能,特別適合運(yùn)動(dòng)控制和電機(jī)控制等領(lǐng)域。F2812的每個(gè)事件管理模塊可以同時(shí)產(chǎn)生8路脈寬調(diào)制信號(hào),包括3對(duì)由完全比較單元產(chǎn)生的死區(qū)可編程PWM信號(hào)以及有通用定時(shí)器產(chǎn)生的2路獨(dú)立的PWM信號(hào)。2.5.3 基于串口通信的數(shù)據(jù)采集功能測(cè)試 F2812串
39、口支持16級(jí)接收和發(fā)送FIFO,有一個(gè)16位波特率選擇寄存器,靈活性極大。此外,芯片上集成了一個(gè)12位ADC,具有16通道服用輸入接口,兩個(gè)采樣保持電路,最快轉(zhuǎn)換周期為60ns。第3章 軟件的設(shè)計(jì)3.1 軟件簡(jiǎn)介在F2812中,對(duì)外部器件的讀、寫訪問(wèn)都是通過(guò)外部接口模塊XINTF來(lái)實(shí)現(xiàn)的。它類似于C240X的外部接口,但也作了三方面的改進(jìn)。 (1) 原來(lái)的TMS320LF240X系列,程序存儲(chǔ)空間、數(shù)據(jù)存儲(chǔ)空間和I/o空間都映射在相同的地址(0000FFFF),對(duì)它們的訪問(wèn)是通過(guò)不同的指令來(lái)區(qū)分的;而在F2812中,外部接口模塊分成了5個(gè)固定的存儲(chǔ)映像區(qū)域:XZCS0、XZCSl、XZCS2、
40、XZCS6、XZCS7,可尋址1 MB的片外存儲(chǔ)器空間,具有獨(dú)立的地址。 (2) F2812的每個(gè)XINTF區(qū)都有一個(gè)片選信號(hào)。其中,有地區(qū)域的片選信號(hào)在內(nèi)部是“與”在一起的,組成了一個(gè)共享的芯片選擇,比如XZCSo和 XZXSl共享一個(gè)片選信號(hào)XZCSO、ANDI,XZCS6和XZCS7共享一個(gè)片選信號(hào)XZCS6XZCS7.在這種方式下,同一個(gè)外部器件可被連到兩個(gè)區(qū),或者可以用外部譯碼邏輯來(lái)區(qū)分這兩個(gè)區(qū)。 (3) 5個(gè)固定存儲(chǔ)映像區(qū)域的每一個(gè)區(qū)還可以分別對(duì)等待狀態(tài)數(shù)、讀寫選通信號(hào)的建立時(shí)間、激活時(shí)間和保持時(shí)間進(jìn)行編程。 3.2 基本功能3.2.1 2812讀寫時(shí)序可編程的等待狀態(tài)、芯片選擇和
41、可編程的選通時(shí)間使得該接口與外部存儲(chǔ)器及外設(shè)脫離了聯(lián)系,可以靈活、獨(dú)立地進(jìn)行外部擴(kuò)展。這里,對(duì)外部器件進(jìn)行讀、寫訪問(wèn)的基時(shí)鐘是xINTF內(nèi)部時(shí)鐘xTIMCLK。通過(guò)寫XINTF-CNJF2寄存器的XTIMCLK位,可以將該時(shí)鐘配置成與SYSCLK0UT相等和等于SYSCLKOUT的1/2,并且,對(duì)任何一個(gè)映射在XINTF區(qū)的外部器件進(jìn)行讀、寫訪問(wèn)都可劃分為建立、激活和跟蹤三個(gè)階段??梢酝ㄟ^(guò)相應(yīng)的 XTIMINCO/1/2/6/7寄存器來(lái)設(shè)置這三個(gè)階段的周期,使之滿足系統(tǒng)的需要。F2812的讀寫時(shí)序如圖3-1和3-2所示。圖 3-1 TMS320F2812讀時(shí)序圖3-2 TMS320F2812寫
42、時(shí)序 由圖可知,在建立階段,相應(yīng)XINTF區(qū)的片選信號(hào)變?yōu)榈碗娖?,地址有效;默認(rèn)情況下,該階段的周期為最大值6個(gè)XTIMCLK周期。在激活階段,對(duì)外部器件進(jìn)行訪問(wèn):在讀訪問(wèn)時(shí),讀選通信號(hào)(XRD)變低并將數(shù)據(jù)鎖入DSP;在寫訪問(wèn)時(shí),寫選通信號(hào)(XWE)變低并將數(shù)據(jù)放置在數(shù)據(jù)總線上。默認(rèn)情況下,該階段的周期為最大值14個(gè)XTIMCLKK。在跟蹤階段,讀或?qū)戇x通信號(hào)變回為高電平,但其地址仍保持有效。默認(rèn)情況下,該階段的周期為最大值6個(gè)XTIMCLK周期。 由此可得,F(xiàn)2812的讀、寫周期(激活階段)的最大值為14個(gè)XTIMCLK周期。如果將XTIMCLK的頻率設(shè)置為SYSCLKOUT的1/2,則讀
43、、寫周期的最大值為180 ns;并且,其讀、寫操作數(shù)據(jù)的保持時(shí)間最大可以達(dá)到6個(gè)XTIMCLK周期80 ns。因此,F(xiàn)2812能夠?qū)崿F(xiàn)與常用外圍芯片的時(shí)序匹配,如RAM、D/A等;但是,當(dāng)遇到讀、寫周期十分緩慢的輸入/輸出設(shè)備,如液晶顯示模塊、打印機(jī)、鍵盤時(shí),就需要設(shè)計(jì)相應(yīng)的外部硬件等待電路。3.2.2 液晶顯示模塊的讀寫時(shí)序 以深圳市拓普微公司的LM19264A漢字圖形液晶顯示模塊為例,讀寫時(shí)序如圖3-3和3-4所示。圖3-3液晶模塊的讀時(shí)序圖3-4液晶模塊的寫時(shí)序該液晶模塊的使能信號(hào)E的周期tcYc最小為1500 ns,使能信號(hào)脈沖寬度tWEH、twEL最小為700 ns。在E為高電平時(shí),
44、該液晶模塊處于讀、寫周期。如果采用直接控制方式,即CPU采用總線方式控制液晶模塊,DSP的讀、寫周期最大值為180 ns,而液晶模塊的讀、寫周期,即E的高電平信號(hào),最小為700 ns。DSP的讀、寫時(shí)序不能滿足該液晶模塊的要求。如果采用間接的控制方式,即CPU采用并口方式控制液晶模塊,可以實(shí)現(xiàn)二者的時(shí)序匹配,但會(huì)降低接口效率。顯然,最好的方法就是設(shè)計(jì)相應(yīng)的外部硬件等待電路來(lái)擴(kuò)展DSP的讀、寫周期。3.2.3 F2812的XREADY信號(hào) F2812通過(guò)采樣X(jué)READY信號(hào),可以擴(kuò)展讀、寫訪問(wèn)的激活階段。因此,可以利用該信號(hào)講行硬件擴(kuò)展。從而產(chǎn)生任何數(shù)目的等待狀態(tài)。 在F2812中,對(duì)XREAD
45、Y信號(hào)的采樣可以分為同步采樣和異步采樣兩種。同步采樣時(shí),在總的建立激活周期之前,對(duì)XREADY采樣一個(gè) XTIMCLK周期;而異步采樣時(shí),在總的建立激活周期之前,要對(duì)XREADY采樣三個(gè)XTIMCLK周期??梢?jiàn),在異步采樣方式中,XREADY信號(hào)需要保持三個(gè)XTIMCLK周期,不會(huì)因?yàn)閄READY信號(hào)在激活周期之前的一個(gè)低電平擾動(dòng)就產(chǎn)生等待狀態(tài),增強(qiáng)了系統(tǒng)的抗擾能力。默認(rèn)情況下,該芯片采用異步采樣方式。 無(wú)論是同步采樣還是異步采樣,如果發(fā)現(xiàn)XREADY信號(hào)為低,則激活階段擴(kuò)展一個(gè)XTIMCLK周期,在下一個(gè)XTIMCLK周期期間,XREADY再次被采樣。這個(gè)過(guò)程一直繼續(xù),直至XREADY采樣為
46、高。因此,可以利用F2812的XREADY信號(hào)和相關(guān)的外部讀、寫控制信號(hào),通過(guò)硬件擴(kuò)展,與外部設(shè)備進(jìn)行直接的連接訪問(wèn)。3.2.4 相關(guān)VHDLarchitecture 1cdcontrol of 1cd isCONSTANT count_model:INTEGER:=32signal adr:std_logic_vector(6 downto 0);begin process(clk,dspreset) VARIABLE cnt: INTEGER RANGE 0TO 32; Begin adr=a18&a15; if(dspreset=0) then XREADY=1;SMRST=1復(fù)位狀態(tài)E
47、=0; elsif (clkevent AND clk=1) then if(xzcs6=0and adr=”01”and(xwe and xrd)=0) then E=1;SMRST=1; If cnt =count_model-1 then Cnt:=0; elsecnt:=cnt+1; end if; if cnt=count_model-1 then XREADY=1; else XREADY=0; end if; else SMRST=1;XREADY=1;E=0; end if; end if; end process; end lcdcontrol;擴(kuò)展了32個(gè)XCLKOUT周期
48、,等待狀態(tài)為853 ns,滿足液晶模塊的時(shí)序要求;但在實(shí)際應(yīng)用中,由于液晶模塊的顯示速度過(guò)快,顯示效果不是很好。這里,由于采用了CPLD芯片,可以修改VHDL程序,將循環(huán)次數(shù)由32次增加到146次,從而可以很方便地將等待狀態(tài)延長(zhǎng)為4 S左右,實(shí)際效果也滿足了要求。3.2.5 DSP對(duì)液晶模塊連續(xù)的讀寫訪問(wèn) 當(dāng)F2812對(duì)液晶顯示模塊進(jìn)行連續(xù)的讀、寫操作時(shí),兩個(gè)連續(xù)的讀、寫周期(激活階段)之間的時(shí)間間隔為上一個(gè)操作的跟蹤階段和這一個(gè)操作的建立階段,最大為12個(gè)XTIMCLK周期(156 ns),不能延時(shí)。而由液晶的時(shí)序圖可知,對(duì)液晶的連續(xù)兩次操作的時(shí)間間隔,即使能信號(hào)E為低電平的時(shí)候,最小為70
49、0 ns??梢?jiàn),兩者之間時(shí)序不能匹配。于是,在連續(xù)兩個(gè)命令之間加人了延時(shí)語(yǔ)句。雖然這種方法較之硬件實(shí)現(xiàn)效率要低,但完全可以滿足系統(tǒng)的設(shè)計(jì)要求。 void Delay(unsigned int nDelay) int ii,jj,kk=0; for(ii=0,iinDelay;ii+) for(jj=0;jj1024;jj+) kk+; 3.3 TMS320F2812 系統(tǒng)設(shè)計(jì)中應(yīng)注意的幾個(gè)問(wèn)題3.3.1 速度和時(shí)鐘從運(yùn)算速度上看,TMS320F2812有20、285和40MIPS三個(gè)具體片種,三種芯片的價(jià)格差異較大。40MIPS的DSP可用于20MIPS的低速率,反之則不行。因此在選擇芯片時(shí),
50、要意識(shí)到速度的差異,應(yīng)根據(jù)實(shí)際的需要選擇合適的芯片,以求獲得最優(yōu)的性能價(jià)格比。TMS320F2812有32K的片內(nèi)Flash Ram,可滿足大多數(shù)應(yīng)用場(chǎng)合對(duì)程序存儲(chǔ)空間的需要??蓤?zhí)行程序代碼燒錄進(jìn)Flash Ram是通過(guò)JTAG仿真測(cè)試口完成的。但必須注意的是:無(wú)論對(duì)于40MIPS,還是20MIPS或285MIPS的DSP芯片,程序代碼成功燒錄進(jìn)片內(nèi)Flash Ram的前提條件是TMS320F2812工作于20MIPS,亦即CLKOUT1時(shí)鐘頻率應(yīng)為20MHz/50ns。否則程序無(wú)法燒錄進(jìn)DSP內(nèi)的Flash Ram。所以,在設(shè)計(jì)中,如果希望TMS320F2812的運(yùn)算速度超過(guò)20MIPS,且
51、把Flash Ram作為程序存儲(chǔ)空間,則應(yīng)注意主時(shí)鐘CLKOUT1的設(shè)計(jì)。在利用外時(shí)鐘源的情況下,可利用兩個(gè)引腳DIV1和DIV2為高低電平來(lái)確定CLKOUT1與外時(shí)鐘源的對(duì)應(yīng)關(guān)系。當(dāng)DIV2和DIV1分別為低電平和高電平時(shí),二者相等。當(dāng)DIV2和DIV1分別為高電平和低電平時(shí),CLKOUT1兩倍于外時(shí)鐘源。所以,如果要求在程序運(yùn)行時(shí),CLKOUT1的速率超過(guò)20MHz,可采用將時(shí)鐘確定為外部時(shí)鐘模式的方法,同時(shí)使外部時(shí)鐘源的頻率不大于20MHz,然后根據(jù)程序運(yùn)行或程序燒錄時(shí)所需時(shí)鐘的不同,通過(guò)跳線確定DIV1和DIV2的高低電平,從而分別滿足程序運(yùn)行和程序燒錄時(shí)不同的時(shí)鐘需要。例如,希望TM
52、S320F2812的運(yùn)算速度是40MIPS可用20MHz的晶振作為外部時(shí)鐘源;在程序燒錄時(shí),通過(guò)跳線將DIV2和DIV1分別設(shè)置為低電平和高電平,此時(shí)CLKOUT1為20MHz,可滿足程序燒錄所需的時(shí)鐘條件;在程序運(yùn)行時(shí),則通過(guò)跳線將DIV2和DIV1分別設(shè)置為高電平和低電平,此時(shí)CLKOUT1是外時(shí)鐘源的2倍,為40MHz可滿足程序運(yùn)行時(shí)的時(shí)鐘條件。3.3.2 中斷的使用中斷的使用是系統(tǒng)設(shè)計(jì)中十分重要的問(wèn)題。中斷的軟件設(shè)計(jì),是中斷程序正常運(yùn)行的關(guān)鍵;而中斷的硬件設(shè)計(jì),有些問(wèn)題也同樣不容忽視。在TMS320F2812中,中斷的使用是與三個(gè)寄存器相聯(lián)系的,即中斷標(biāo)志寄存器(ifr)、中斷屏蔽寄存
53、器(imr)和中斷控制寄存器(icr)。16位的中斷標(biāo)志寄存器(ifr)標(biāo)志位于數(shù)據(jù)存儲(chǔ)空間內(nèi),地址是0006h。它含有所有可屏蔽中斷的標(biāo)志。當(dāng)可屏蔽中斷請(qǐng)求到達(dá)CPU時(shí)ifr中相應(yīng)的標(biāo)志置為1,這表明該中斷掛起正在等待響應(yīng)。讀ifr可識(shí)別掛起的中斷,寫ifr可清除掛起的中斷。為清除中斷請(qǐng)求(也即將ifr標(biāo)志清0),可向ifr中相應(yīng)的位寫入1再將ifr當(dāng)前的內(nèi)容寫回ifr,即可清除所有掛起的中斷。16位的中斷屏蔽寄存器(imr)標(biāo)志位于數(shù)據(jù)存儲(chǔ)空間,地址是0004h。它用于屏蔽內(nèi)部和外部的可屏蔽中斷。因/NMI和/RS是不可屏蔽中斷,所以它們都不包括在imr中,因而imr對(duì)這些中斷沒(méi)有影響。讀
54、imr可以識(shí)別被屏蔽和沒(méi)有被屏蔽的中斷,寫imr可以屏蔽和不屏蔽中斷。為了不屏蔽某個(gè)中斷,應(yīng)將它對(duì)應(yīng)的imr中的位寫為1;為了屏蔽某個(gè)中斷,應(yīng)將它對(duì)應(yīng)的imr中的位寫為0。16位的中斷控制寄存器(icr)標(biāo)志在I/O空間內(nèi),地址是FFECh含有中斷/INT2和/INT3單獨(dú)的標(biāo)志位和屏蔽位。它控制HOLD/INT1的引腳功能,并單獨(dú)控制/INT2和/INT3中斷。在TMS320F2812的程序空間中,0000h003fh對(duì)應(yīng)中斷向量空間,對(duì)于程序設(shè)計(jì)中沒(méi)用到的向量空間,應(yīng)填零,以防止意外情況發(fā)生。若TMS320F2812響應(yīng)了某個(gè)硬件中斷,則會(huì)自動(dòng)將其相應(yīng)的中斷標(biāo)志位和中斷允許位INTM置1(INTM為1則禁止所有中斷),但在中斷服務(wù)程序結(jié)束后不
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 解析國(guó)際物流中的各類費(fèi)用試題及答案
- 實(shí)踐導(dǎo)向CPMM試題及答案
- 淺談開(kāi)放大學(xué)學(xué)位英語(yǔ)考試中的詞形變化-以工商管理專業(yè)學(xué)士學(xué)位英語(yǔ)考題為例
- 2024年供應(yīng)鏈管理師快速成長(zhǎng)試題及答案
- 2025年中國(guó)六爪式牽引機(jī)市場(chǎng)調(diào)查研究報(bào)告
- 四年級(jí)下冊(cè)數(shù)學(xué)教案-6.4平均數(shù)-北師大版
- 植入式藥物釋放系統(tǒng)行業(yè)跨境出海戰(zhàn)略研究報(bào)告
- 2025年中國(guó)全封閉浮選脫墨機(jī)數(shù)據(jù)監(jiān)測(cè)報(bào)告
- 2024年供應(yīng)鏈管理師考試復(fù)習(xí)試題及答案
- 2025年中國(guó)企業(yè)資源管理系統(tǒng)軟件數(shù)據(jù)監(jiān)測(cè)報(bào)告
- 外科學(xué)(普外科)試卷
- 興業(yè)銀行還款合同模板
- 2024-2025學(xué)年小學(xué)信息技術(shù)(信息科技)五年級(jí)全一冊(cè)義務(wù)教育版(2024)教學(xué)設(shè)計(jì)合集
- 傳染病防治法培訓(xùn)課件
- (完整)注冊(cè)安全工程師考試題庫(kù)(含答案)
- 古代小說(shuō)戲曲專題-形考任務(wù)2-國(guó)開(kāi)-參考資料
- 2024-2030年中國(guó)公安大數(shù)據(jù)行業(yè)市場(chǎng)深度調(diào)研及前景趨勢(shì)與投資研究報(bào)告
- 雙匯肉制品質(zhì)量控制與溯源管理
- 蘇教版小學(xué)四年級(jí)數(shù)學(xué)下冊(cè)全冊(cè)教案
- 佳能Canon-EOS-450D用戶手冊(cè)使用說(shuō)明書
- 2024年新高考II卷高考政治+歷史+地理試卷(真題+答案)
評(píng)論
0/150
提交評(píng)論