![利用撥碼開關(guān)控制點(diǎn)陣進(jìn)行漢字顯示 [當(dāng)文網(wǎng)提供]_第1頁](http://file3.renrendoc.com/fileroot_temp3/2022-2/9/f3734946-ef38-416c-a2d6-078575a16523/f3734946-ef38-416c-a2d6-078575a165231.gif)
![利用撥碼開關(guān)控制點(diǎn)陣進(jìn)行漢字顯示 [當(dāng)文網(wǎng)提供]_第2頁](http://file3.renrendoc.com/fileroot_temp3/2022-2/9/f3734946-ef38-416c-a2d6-078575a16523/f3734946-ef38-416c-a2d6-078575a165232.gif)
![利用撥碼開關(guān)控制點(diǎn)陣進(jìn)行漢字顯示 [當(dāng)文網(wǎng)提供]_第3頁](http://file3.renrendoc.com/fileroot_temp3/2022-2/9/f3734946-ef38-416c-a2d6-078575a16523/f3734946-ef38-416c-a2d6-078575a165233.gif)
![利用撥碼開關(guān)控制點(diǎn)陣進(jìn)行漢字顯示 [當(dāng)文網(wǎng)提供]_第4頁](http://file3.renrendoc.com/fileroot_temp3/2022-2/9/f3734946-ef38-416c-a2d6-078575a16523/f3734946-ef38-416c-a2d6-078575a165234.gif)
![利用撥碼開關(guān)控制點(diǎn)陣進(jìn)行漢字顯示 [當(dāng)文網(wǎng)提供]_第5頁](http://file3.renrendoc.com/fileroot_temp3/2022-2/9/f3734946-ef38-416c-a2d6-078575a16523/f3734946-ef38-416c-a2d6-078575a165235.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、中北大學(xué)課程設(shè)計(jì)任務(wù)書學(xué)期學(xué) 院: 電子與計(jì)算機(jī)科學(xué)技術(shù)學(xué)院電子科學(xué)與技術(shù)學(xué) 號(hào): 專 業(yè): 學(xué) 生 姓 名:課程設(shè)計(jì)題目: 利用撥碼開關(guān)控制點(diǎn)陣進(jìn)行漢字顯示電子科學(xué)與技術(shù)專業(yè)實(shí)驗(yàn)室任勇峰 起 迄 日 期: 課程設(shè)計(jì)地點(diǎn): 指 導(dǎo) 教 師: 系主任:下達(dá)任務(wù)書日期: 2008年 6月 16日課 程 設(shè) 計(jì) 任 務(wù) 書課 程 設(shè) 計(jì) 任 務(wù) 書系統(tǒng)硬件介紹EDA-V型實(shí)驗(yàn)箱結(jié)構(gòu)組成:系統(tǒng)主要由以下模塊組成: 4位米字型數(shù)碼管顯示模塊 8位8字型數(shù)碼管顯示模塊 矩陣鍵盤輸入模塊 16×16點(diǎn)陣模塊 128×32液晶顯示模塊 iSPPAC適配器接口 CPLD/FPGA適配器接口
2、12位按鍵輸入模塊 18位撥碼開關(guān)輸入模塊 蜂鳴器輸出模塊 電平調(diào)節(jié)模塊 模擬信號(hào)源模塊 話筒輸入模塊 語音輸出模塊 電阻電容擴(kuò)展模塊 自由擴(kuò)展區(qū) 8路A/D轉(zhuǎn)換模塊 D/A轉(zhuǎn)換模塊 串行EEPROM模塊 RS232擴(kuò)展模塊 EEPROM模塊 單片機(jī)及RS232接口模塊 可調(diào)數(shù)字信號(hào)源EDA-V型實(shí)驗(yàn)箱結(jié)構(gòu)框圖如圖Y-3 :圖Y-3 :EDA-V型實(shí)驗(yàn)箱結(jié)構(gòu)框圖本設(shè)計(jì)所用各組成模塊介紹1、 16×16點(diǎn)陣模塊接口電路原理圖如圖Y-5所示。列選信號(hào)為SEL0SEL3經(jīng)4-16譯碼器后給L0L15,最右邊為第一列;行選信號(hào)為L0L15,最上方為第一行。表2 點(diǎn)陣顯示接口對(duì)應(yīng)關(guān)系表2、 1
3、8位撥碼開關(guān)輸入模塊開關(guān)撥向下方時(shí)為低電平,撥向上方時(shí)為高電平。輸出口最左邊對(duì)應(yīng)開關(guān)D17,最右邊對(duì)應(yīng)開關(guān)D0。 3、 可調(diào)數(shù)字信號(hào)源時(shí)鐘信號(hào)源可產(chǎn)生從1.2Hz20MHz之間的任意頻率。該電路采用全數(shù)字化設(shè)計(jì),提供的最高方波頻率為20MHz,最低頻率為1.2Hz,并且頻率可以在這個(gè)范圍辦內(nèi)隨意組合變化。整個(gè)信號(hào)源共有六個(gè)輸出口(CLK0CLK5),每個(gè)輸出口輸出的頻率各不相同,通過JP1JP11這11組跳線來完成設(shè)置的,其中:CLK0輸出口的頻率通過JP7(CLK0)來設(shè)置的,這樣輸出的時(shí)鐘頻率種類為20MHz、10MHz、5MHz、2.5MHz、1.25MHz;CLK1輸出口的頻率通過JP
4、1(F_SEL1)及JP8(CLK1)來設(shè)置,輸出頻率對(duì)應(yīng)的關(guān)系為:FCLK1=20MHz×F_SEL1×CLK1CLK2輸出口的頻率通過JP1(F_SEL1)、JP2(F_SEL2)及JP9(CLK2)來設(shè)置,輸出頻率對(duì)應(yīng)的關(guān)系為:FCLK2=20MHz×F_SEL1×F_SEL2×CLK2 CLK3輸出口的頻率通過JP1(F_SEL1)、JP2(F_SEL2)、JP3(F_SEL3)及JP10(CLK3)來設(shè)置,輸出頻率對(duì)應(yīng)的關(guān)系為:FCLK3=20MHz×F_SEL1×F_SEL2×F_SEL3×C
5、LK3 CLK4輸出口的頻率通過JP1(F_SEL1)、JP2(F_SEL2)、JP3(F_SEL3)、JP4(F_SEL4)及JP11(CLK4)來設(shè)置,輸出頻率對(duì)應(yīng)的關(guān)系為:FCLK4=20MHz×F_SEL1×F_SEL2×F_SEL3×F_SEL4×CLK4 CLK5輸出口的頻率通過JP1(F_SEL1)、JP2(F_SEL2)、JP3(F_SEL3)、JP4(F_SEL4)、JP5(F_SEL5)及JP6(CLK5)來設(shè)置,輸出頻率對(duì)應(yīng)的關(guān)系為:FCLK5=20MHz×F_SEL1×F_SEL2×F_SE
6、L3×F_SEL4×F_SEL4×CLK5比如要得到1.2Hz的信號(hào),短路子的設(shè)置如下:JP1 F_SEL1:1/16JP2 F_SEL2:1/16JP3 F_SEL3:1/16JP4 F_SEL4:1/16JP5 F_SEL5:1/16JP6 F_SEL6:1/16JP7 CLK0: 1.25M信號(hào)輸出CLK5。系統(tǒng)軟件介紹配套軟件:Max+plus II 10.0基礎(chǔ)版 (商業(yè)版見報(bào)價(jià)單及相關(guān)資料說明)1.運(yùn)行環(huán)境 Win95/98/2000 2.層次化設(shè)計(jì) 支持3.原理圖輸入 支持 4.文本輸入 支持5.AHDL輸入 支持 6.VHDL輸入 支持7.原理圖設(shè)
7、計(jì)宏庫 基本庫 8.仿真和時(shí)序分析 支持9.邏輯綜合 支持10. 硬件編程/下載 支持11.支持芯片Max7000全系列(如7000A、7000B、7000E、7000S等)和Max9000系列等Flex6000、8000、10K(如10K系列的10K10、20、30、50、10K100等)、10KE系列等本設(shè)計(jì)系統(tǒng)框圖如下:源程序FENPIN分頻模塊:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity fenpin isport(clk:in std_logic; clkout:ou
8、t std_logic);end fenpin;architecture chw_arc of fenpin is signal cnt:integer range 0 to 100;beginprocess(clk)begin if clk'event and clk='1' then if cnt<50 then clkout <= '0' else clkout <= '1' end if;cnt <= cnt +1; end if;-end if;end process;end chw_arc;CNTA模塊
9、, 此模塊產(chǎn)生列選擇信號(hào)。 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnta isport(clk:in std_logic; q:out std_logic_vector(0 to 3); end cnta;architecture cnt_arc of cnta isbeginprocess(clk) variable tmp:std_logic_vector(3 downto 0); begin if clk'event and clk='1'
10、then if tmp="1111" then tmp:="0000"elsetmp:=tmp+1;end if;end if;q<=tmp-1;end process;end cnt_arc;CORA1模塊此模塊對(duì)應(yīng)產(chǎn)生列選擇信號(hào)。可以通過撥碼開關(guān)ch2.0控制漢字的顯示,改變撥碼開關(guān)可改變所。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cora1 isport(ch:in std_logic_vector(2 downto 0);
11、 sel:in std_logic_vector(0 to 3); q:out std_logic_vector(15 downto 0);end cora1;architecture corn_arc of cora1 isbeginprocess(ch,sel)begin case ch iswhen "000"=>case sel iswhen "0000"=>q<="0000000000000000" -張when "0001"=>q<="00100000100000
12、00"when "0010"=>q<="0110000010000100"when "0011"=>q<="0001000010000110"when "0100"=>q<="0000110010001000"when "0101"=>q<="0010001110010000"when "0110"=>q<="01000000101000
13、00"when "0111"=>q<="1111111111111111"when "1000"=>q<="0100000010000000"when "1001"=>q<="0000000010000000"when "1010"=>q<="0000000000000000"when "1011"=>q<="01111111000111
14、10"when "1100"=>q<="1000000100010010"when "1101"=>q<="0100000100010010"when "1110"=>q<="0000001111110010"when "1111"=>q<="0000000100000010"when others=>null;end case;when "001"=&
15、gt;case sel iswhen "0000"=>q<="0000000000000000" -治 when "0001"=>q<="0000000000000000"when "0010"=>q<="0000000001100000"when "0011"=>q<="1111111000110000"when "0100"=>q<="0100
16、001000101000"when "0101"=>q<="0100001000100000"when "0110"=>q<="0100001001000011"when "0111"=>q<="0100001001001100"when "1000"=>q<="0100001001010000"when "1001"=>q<="1111
17、111001100000"when "1010"=>q<="0000000001000000"when "1011"=>q<="0000000000110000"when "1100"=>q<="0000000111000110"when "1101"=>q<="1111111000000001"when "1110"=>q<="0000
18、010001100000"when "1111"=>q<="0000010000010000"when others=>null;end case;when "010"=>case sel iswhen "0000"=>q<="0000000000000000" -中 when "0001"=>q<="0000000000000000"when "0010"=>q<=
19、"0000000000001000"when "0011"=>q<="0000011111111100"when "0100"=>q<="0000001000001000"when "0101"=>q<="0000001000001000"when "0110"=>q<="0000001000001000"when "0111"=>q<=
20、"0000001000001000"when "1000"=>q<="1111111111111111"when "1001"=>q<="0000001000001000"when "1010"=>q<="0000001000001000"when "1011"=>q<="0000001000001000"when "1100"=>q<=
21、"0000001000001000"when "1101"=>q<="0000011111111100"when "1110"=>q<="0000000000000000"when "1111"=>q<="0000000000000000"when others=>null;end case;when "011"=>case sel iswhen "0000"=>
22、q<="0000000000000000"when "0001"=>q<="0000000000000000"-的when "0010"=>q<="0001111111111000" when "0011"=>q<="0010000000001000" when "0100"=>q<="0100000000001000" when "0101"
23、=>q<="0010011000001000" when "0110"=>q<="0000001100001000" when "0111"=>q<="0000000010001111" when "1000"=>q<="0000000000110000" when "1001"=>q<="0000000001000000" when "1010&
24、quot;=>q<="0011111111111000" when "1011"=>q<="0001000010001000" when "1100"=>q<="0001000010001011" when "1101"=>q<="0001000010001100" when "1110"=>q<="0111111111111000" when "
25、1111"=>q<="0000000000000000" when others=>null;end case;when "100"=>case sel iswhen "0000"=>q<="0000000000000000" when "0001"=>q<="0001000100000000" when "0010"=>q<="0011000101111110" w
26、hen "0011"=>q<="0000100101001010" -課when "0100"=>q<="0000010101001010" when "0101"=>q<="1111111111111110" when "0110"=>q<="0000001101001010" when "0111"=>q<="0000010101001010&
27、quot; when "1000"=>q<="0000100101111110" when "1001"=>q<="0001000100000000" when "1010"=>q<="0010000100000000" when "1011"=>q<="0000100000000000" when "1100"=>q<="000100000000
28、0100" when "1101"=>q<="0011111111101110" when "1110"=>q<="0000000000100001" when "1111"=>q<="0000000000000000" when others=>null;end case;when "101"=>case sel iswhen "0000"=>q<="00
29、00000000000000" when "0001"=>q<="0100000000000000" when "0010"=>q<="0100010010000000" when "0011"=>q<="0100010010111111" when "0100"=>q<="0100010010100001" when "0101"=>q<=&qu
30、ot;0100010010100001" -程when "0110"=>q<="0111111110100001" when "0111"=>q<="0100010010100001" when "1000"=>q<="0100010010111111" when "1001"=>q<="0100010010000000" when "1010"=>q&
31、lt;="0100000100010001" when "1011"=>q<="0000000010010001" when "1100"=>q<="1111111111111110" when "1101"=>q<="0000000011010010" when "1110"=>q<="0000001100010010" when "1111"=&
32、gt;q<="0000010000010000" when others=>null;end case;when "110"=>case sel iswhen "0000"=>q<="0000000000000000" when "0001"=>q<="0100000001000000" when "0010"=>q<="1100000001000000" when "00
33、11"=>q<="0100001111000000" when "0100"=>q<="0010110010111110" when "0101"=>q<="0001000010000010" when "0110"=>q<="0001000010000010" when "0111"=>q<="0010110010111110" -設(shè)when &
34、quot;1000"=>q<="0100001111000000" when "1001"=>q<="0100000001000000" when "1010"=>q<="1000000010000000" when "1011"=>q<="1001000000000000" when "1100"=>q<="0010000000000100" when "1101"=>q<="0111111111001110" when "1110"=>q<="0000000001000001" when "1111"=>q<="0000000001000000" when others=>null;end case;when "111"=>case sel iswhen &
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年代保管檔案協(xié)議(2篇)
- 2025年企業(yè)單位雇傭合同模板(2篇)
- 2025年買賣合作廉潔協(xié)議經(jīng)典版(2篇)
- 2025年交通事故自行協(xié)商協(xié)議(三篇)
- 2025年個(gè)人汽車貸款擔(dān)保合同簡單版(2篇)
- 地鐵項(xiàng)目居間合同協(xié)議書
- 八年級(jí)大考數(shù)學(xué)試卷
- 幼兒園全包裝修合同條款
- 沙石運(yùn)輸誠信體系建設(shè)合同
- 樂器運(yùn)輸協(xié)調(diào)協(xié)議
- 2024年微生物檢測(cè)試劑行業(yè)商業(yè)計(jì)劃書
- 河南開封介紹課件
- 通信設(shè)備售后服務(wù)方案
- 高中英語選擇性必修一單詞表
- 初中生物校本課程綱要
- 物業(yè)公司介紹
- 賣花生混聲合唱簡譜
- 數(shù)學(xué)方法在物理中的應(yīng)用
- 【永輝超市公司員工招聘問題及優(yōu)化(12000字論文)】
- 心肺復(fù)蘇指南
- 《智能物聯(lián)網(wǎng)導(dǎo)論》AIoT導(dǎo)論-第2章課件
評(píng)論
0/150
提交評(píng)論