嵌入式系統(tǒng)設(shè)計原理及應(yīng)用復(fù)習(xí)題答案自制版(電子科大)_第1頁
嵌入式系統(tǒng)設(shè)計原理及應(yīng)用復(fù)習(xí)題答案自制版(電子科大)_第2頁
嵌入式系統(tǒng)設(shè)計原理及應(yīng)用復(fù)習(xí)題答案自制版(電子科大)_第3頁
嵌入式系統(tǒng)設(shè)計原理及應(yīng)用復(fù)習(xí)題答案自制版(電子科大)_第4頁
嵌入式系統(tǒng)設(shè)計原理及應(yīng)用復(fù)習(xí)題答案自制版(電子科大)_第5頁
已閱讀5頁,還剩14頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、考試題型:填空題、選擇題、簡答題、計算題、設(shè)計題考試方式:開卷第0章 緒論l、什么是數(shù)字系統(tǒng)設(shè)計技術(shù)?在解決了對不同目標(biāo)信息的數(shù)字化編碼、數(shù)字化傳輸、數(shù)字化解碼的基本理論、算法定義和協(xié)議規(guī)范之后,對其如何進(jìn)行系統(tǒng)的構(gòu)成,如何以最優(yōu)化的性能(如速度)、最低廉的成本(如芯片而積、集成密度等)來實(shí)現(xiàn)該系統(tǒng)的技術(shù)。2、什么是集成電路IC?集成電路(IC)是指通過一系列特定的加工工藝,將多個晶體管、電阻、電容等器件,按照一定的電路連接集成在一塊半導(dǎo)體單品片(如Si或GaAs)或陶瓷等基片上,作為一個不可分割的整體完成某一特定功能的電路組件3、什么是集成電路IP?集成電路IP是經(jīng)過預(yù)先設(shè)計、預(yù)先驗(yàn)證,符合

2、產(chǎn)業(yè)界普片認(rèn)同的設(shè)計規(guī)范和設(shè)計標(biāo)準(zhǔn),具有相對獨(dú)立功能的電路模塊或予系統(tǒng)。其具有知識含量高、占用芯片而積小、運(yùn)行速度快、功耗低、工藝容差性大等特點(diǎn),可以復(fù)用(Reuse)于SOC、SOPC或復(fù)雜ASIC設(shè)計中。4、什么是sOc?SOC,即嵌入式系統(tǒng)發(fā)展的最高形式一片上系統(tǒng)。從狹義角度講,它是信息系統(tǒng)核心的芯片集成,是將系統(tǒng)關(guān)鍵部件集成在一塊芯片上;從廣義角度講,SOC是一個微小型系統(tǒng),5、光刻的基本原理。 光刻是以某種波長的光為曝光光源,透過掩模版(由不透光的圖形組成),照射在涂有光刻膠(光致抗蝕劑)的被加工材料表面上,利用光刻膠的感光性和抗蝕性,經(jīng)過化學(xué)顯影,制作出與掩模版圖形一致的光刻膠圖形

3、。 光刻是復(fù)制微細(xì)圖形的最有效手段之一,是芯片制作的核心技術(shù)。 掩模版是一種玻璃板,加了一個反射金屬層。 光刻膠是一種光敏組織聚合物,性質(zhì)與膠卷類似,光能改變它的化學(xué)性質(zhì)。第1章 嵌入式系統(tǒng)基礎(chǔ)知識4、嵌入式系統(tǒng)的定義和特點(diǎn)是什么?分別從技術(shù)角度和系統(tǒng)角度給出嵌入式系統(tǒng)的定義技術(shù)角度:以應(yīng)用為中心、以計算機(jī)技術(shù)為基礎(chǔ),軟硬件可裁剪,應(yīng)用系統(tǒng)對功能、可靠性、成本、體積、功耗和應(yīng)用環(huán)境有特殊要求的專用計算機(jī)系統(tǒng)。是將應(yīng)用程序、操作系統(tǒng)和計算機(jī)硬件集成在起的系統(tǒng)系統(tǒng)角度:嵌入式系統(tǒng)是設(shè)計完成復(fù)雜功能的硬件和軟件,并使其緊密耦合在一起的計算機(jī)系統(tǒng)嵌入式系統(tǒng)的特點(diǎn)是什么?從三要素說:嵌入式:嵌入到對象體

4、系中,有對象環(huán)境要求 專用性:軟、硬件按對象要求裁減 計算機(jī)系統(tǒng):實(shí)現(xiàn)對象的智能化功能功耗限制、低成本、多速率、環(huán)境相關(guān)性、系統(tǒng)內(nèi)核小、專用性強(qiáng)、不可壟斷性、產(chǎn)品相對穩(wěn)定性具有實(shí)時性課件上的表達(dá):(1)專用性:與具體應(yīng)用緊密結(jié)合,按照特定的應(yīng)用需求進(jìn)行設(shè)計,完成預(yù)定的任務(wù)。(2)隱敝性:通常是宿主設(shè)備的一個部分,隱藏在其內(nèi)部,不為人知。(3)資源受限:要求小型化、輕量化、低功耗及低成本,對系統(tǒng)的配置及軟件開發(fā)有著苛刻的要求。(4)高可靠性:大多面向控制應(yīng)用,系統(tǒng)的可靠性十分重要。(5)實(shí)時性:在一個可預(yù)測和有保證的時間段內(nèi)對外部事件作出正確的反應(yīng)。(6)軟件固化:軟件固化在只讀存儲器中,用戶通

5、常不能隨意。5、什么是軟件?一般包括幾個部分?軟件產(chǎn)品的特性是什么? 軟件(software)是計算機(jī)系統(tǒng)中與硬件(hardware)相互依存的另一部分,它包括程序(program)、相關(guān)數(shù)據(jù)(data)及其說明文檔(document)。 其中: 程序是按照事先設(shè)計的功能和性能要求執(zhí)行的指令序列; 數(shù)據(jù)是程序能正常操縱信息的數(shù)據(jù)結(jié)構(gòu); 文檔是與程序開發(fā)維護(hù)和使用有關(guān)的各種圖文資料。軟件是一種邏輯實(shí)體,具有抽象性。軟件沒有明顯的制造過程。軟件在使用過程中,沒有磨損、老化的問題。軟件對硬件和環(huán)境有著不同程度的依賴性。這導(dǎo)致了軟件移植的問題。軟件的開發(fā)至今尚未完全擺脫手工作坊式的開發(fā)方式,生產(chǎn)效率低

6、。軟件是復(fù)雜的,而且以后會更加復(fù)雜。軟件的成本相當(dāng)昂貴。軟件工作牽涉到很多社會因素。6、嵌入式系統(tǒng)的一般分為哪幾層?每層的具體作用是什么?應(yīng)用層操作系統(tǒng)層驅(qū)動層硬件 驅(qū)動層 驅(qū)動層是直接與硬件打交道的一層,它對操作系統(tǒng)和應(yīng)用提供所需的驅(qū)動的支持。該層主要包括三種種類型的程序。 操作系統(tǒng)層 操作系統(tǒng)是計算機(jī)中最基本的程序。操作系統(tǒng)負(fù)責(zé)計算機(jī)系統(tǒng)中全部軟硬資源的分配與回收、控制與協(xié)調(diào)等并發(fā)的活動;操作系統(tǒng)提供用戶接口,使用戶獲得良好的工作環(huán)境;操作系統(tǒng)為用戶擴(kuò)展新的系統(tǒng)功能提供軟件平臺。 應(yīng)用層 應(yīng)用層軟件主要由多個相對獨(dú)立的 應(yīng)用任務(wù)組成 每個應(yīng)用任務(wù)完成特定的工作,如I/O任務(wù)、計算的任務(wù)、通

7、信任務(wù)等,由操作系統(tǒng)調(diào)度各個任務(wù)的運(yùn)行。 7、嵌入式處理器一般分為哪幾類?嵌入式處理器分類口微控制器(MCU)口微處理器( MPU)口數(shù)字信號處理器(DSP)口片上系統(tǒng)(SOC)8、常用電平標(biāo)準(zhǔn)有哪些?理解電平匹配的含義。常用的電平標(biāo)準(zhǔn) TTL:Transistor-Transistor Logic 三極管結(jié)構(gòu)。 Vcc:5V:VOH>=2.4V;VOL<=0.5V VIH>=2V;VIL<=0.8V LVTTL(Low Voltage TTL) LVTTL又分3.3V、2.5V以及更低電壓的 Vcc:3.3V:VOH>=2.4V;VOL<=0.4V;VIH

8、>=2V;VIL<=0.8V Vcc:2.5V:VOH>=2.0V;VOL<=0.2V;VIH>=1.7V;VIL<=0.7VCMOS:Complementary Metal Oxide Semiconductor  Vcc:5V:VOH>=4.45V;VOL<=0.5V;VIH>=3.5V;VIL<=1.5V LVCMOS (Low Voltage CMOS) LVCMOS又分3.3V、2.5V以及更低電壓的 Vcc:3.3V:VOH>=3.2V;VOL<=0.1V;VIH>=2.0V;VIL<=0

9、.7V Vcc:2.5V:VOH>=2.0V;VOL<=0.1V;VIH>=1.7V;VIL<=0.7VECL:Emitter Coupled Logic 發(fā)射極耦合邏輯電路 Vcc=0V,Vee:-5.2V : VOH=-0.88V;VOL=-1.72V;VIH=-1.24V;VIL=-1.36V PECL(Positive ECL ) Vcc=5V: VOH=4.12V;VOL=3.28V;VIH=3.78V;VIL=3.64VLVPECL(low voltage PECL ) Vcc=3.3V; VOH=2.42V;VOL=1.58V;VIH=2.06V;VIL=

10、1.94V ECL:Emitter Coupled Logic 發(fā)射極耦合邏輯電路 Vcc=0V,Vee:-5.2V : VOH=-0.88V;VOL=-1.72V;VIH=-1.24V;VIL=-1.36V PECL(Positive ECL ) Vcc=5V: VOH=4.12V;VOL=3.28V;VIH=3.78V;VIL=3.64VLVPECL(low voltage PECL ) Vcc=3.3V; VOH=2.42V;VOL=1.58V;VIH=2.06V;VIL=1.94V LVDS:Low Voltage Differential Signaling 低壓差分信號傳輸 LVD

11、S使用注意:可以達(dá)到600M以上,PCB要求較高,差分線要求嚴(yán)格等長。 差分幅度輸出為350mV400mV,輸入閾值為100mV 三星S3C2440A支持電平標(biāo)準(zhǔn):CMOS、TTL、LVCMOS9、什么是集成電路的封裝?封裝考慮的主要因素有哪些?常用的封裝有哪些?封裝指把硅片上的電路管腳,用導(dǎo)線接引到外部接頭處,以便與其它器件連接。需考慮的因素:安裝半導(dǎo)體集成電路芯片用的外殼 安放、固定、密封、保持芯片和增強(qiáng)電熱性能 內(nèi)部芯片與外部電路的連接常用封裝:DIP( Dual In-line Package) 雙列直插封裝 PLCC(Plastic Leaded Chip Carriu) 帶引線的塑

12、料芯片載體 PQFP( Plastic Quad Flat Package)塑料方形扁平封裝 SOP( Small Outline Package)小外型封裝 PGA(PiGrid Array Package),插針網(wǎng)格陣列封裝 BGA(Ball Grid Array Package),球珊陣列封裝 CSP(Chip Size Package),芯片級封裝10、目前常用的嵌入式操作系統(tǒng)有哪些? 按收費(fèi)模式劃分 商用型Vxworks, Nucleux ,PlamOS, Symbian, WinCE, QNX, pSOS,VRTX,Lynx OS, Hopen, Delta OS 免費(fèi)型Linux

13、, CLinux,C/OS-,eCos,uITRON 按實(shí)時性劃分 硬實(shí)時Vxworks 軟實(shí)時WinCE,RTLinux 無實(shí)時Embedded Linux11、名詞解釋:抖動、容忍度、預(yù)加重和均衡抖動(Jitter):衡量系統(tǒng)發(fā)送一致性和穩(wěn)定性的指標(biāo)。數(shù)據(jù)發(fā)送端的抖動越小越好,抖動越小,說明發(fā)端的穩(wěn)定性和一致性越好,越利于收端無誤的接受信息。容忍度( Tolerance):指收端對發(fā)端的抖動不敏感或任耐程度,表示收端恢復(fù)數(shù)據(jù)的能力。接收端的容忍度越大越好,容忍度大,說明即使到達(dá)收端的數(shù)據(jù)有一定的抖動,收端也能正確地恢復(fù)數(shù)據(jù)信息。預(yù)加重(Pre-emphasis):高速信號在距離傳輸過程中,

14、其高頻分量的衰減遠(yuǎn)大于低頻分量,所以需要對高頻分量預(yù)先補(bǔ)償,其目的是使信號在收端頻譜能量均衡,信號失真小。均衡(Equalization):也是改善頻譜,校正信號失真的有效手段,其在收端通過數(shù)字處理校正傳輸過程中的失真。12、嵌入式系統(tǒng)設(shè)計的主要步驟?需求分析- - - - -規(guī)格說明- - - - -體系結(jié)構(gòu)設(shè)計- - - - -構(gòu)件設(shè)計- - - - -系統(tǒng)調(diào)試與集成交叉開發(fā)環(huán)境: 宿主機(jī)(Host),是用于開發(fā)嵌入式系統(tǒng)的計算機(jī)。一般為PC機(jī)(或者工作站),具備豐富的軟硬件資源,為嵌入式軟件的開發(fā)提供全過程 支持。 目標(biāo)機(jī)(Target),即所開發(fā)的嵌入式系統(tǒng),是嵌入式軟件的運(yùn)行環(huán)境,其

15、硬件軟件是為特定應(yīng)用定制的。 在開發(fā)過程中,目標(biāo)機(jī)端需接收和執(zhí)行宿主機(jī)發(fā)出的各種命令如設(shè)置斷點(diǎn)、讀內(nèi)存、寫內(nèi)存等,將結(jié)果返回給宿主機(jī),配合宿主機(jī)各方而的 工作。13、理解嵌入式系統(tǒng)的交叉開發(fā)環(huán)境。 交叉開發(fā)環(huán)境是指用于嵌入式軟件開發(fā)的所有工具軟件的集合,一般包括: 文本編輯器 交叉編譯器 交叉調(diào)試器 仿真器 下載器等 交叉開發(fā)環(huán)境由宿主機(jī)和目標(biāo)機(jī)組成,宿主機(jī)與目標(biāo)機(jī)之間在物理連接的基礎(chǔ)上建立起邏輯連接。14、理解嵌入式軟件的調(diào)試環(huán)境和固化環(huán)境的區(qū)別。第2章 嵌入式處理器體系結(jié)構(gòu)15、CISC、RISC的特點(diǎn)是什么? asc:復(fù)雜指令集(Complex Instruction Set Compu

16、ter),具有大量的指令和尋址方式,指令長度可變。8/2原則:80%的程序只使用20%的指令,大多數(shù)程序只使用少量的指令就能夠運(yùn)行RISC;精簡指令集(Reduced Instruction Set Camputer),只包含最有用的指令,指令長度固定,確保數(shù)據(jù)通道快速執(zhí)行每一條指令,使CPU硬件結(jié)構(gòu)設(shè)計變得更為簡單16、哈佛結(jié)構(gòu)、馮諾依曼結(jié)構(gòu)的區(qū)別?哈佛結(jié)構(gòu):程序存儲器與數(shù)據(jù)儲存器分開;提供了較大的帶寬;適合數(shù)字信號處理;大多數(shù)DSP都是哈佛結(jié)構(gòu)馮諾依曼結(jié)構(gòu):單一處理部件完成計算、存儲及通信功能;線性組織的定長存儲單元(地址);存儲空間的單元(地址)是直接尋址的;使用低級機(jī)器語言,其指令完成

17、基本操作碼的簡單操作;對計算進(jìn)行集中的順序控制(程序存儲);首次提出“地址”和“程序存儲”的概念17、ARM處理器的特點(diǎn)?1低功耗、低成本、高性能 2采用RISC體系結(jié)構(gòu)3大量使用寄存器 4高效的指令系統(tǒng)18、ARM v7體系結(jié)構(gòu)定義了哪3種不同的處理器配置,其各自的應(yīng)用特點(diǎn)是什么?Profile A是而向復(fù)雜、基于虛擬內(nèi)存的os和應(yīng)用的Profile R是針對實(shí)時系統(tǒng)的Profile M是針對低成本應(yīng)用的優(yōu)化的微控制器的。19、簡述下ARM處理器存儲的大端模式和小端模式?大端模式:字?jǐn)?shù)據(jù)的高字節(jié)存儲在低地址中,而字?jǐn)?shù)據(jù)的低字節(jié)則存放在高地址中小端模式:低地址中存放的是字?jǐn)?shù)據(jù)的低字節(jié),高地址存

18、放的是字?jǐn)?shù)據(jù)的高字節(jié)20、ARM處理器的七種工作模式是什么?ARM的兩種工作狀態(tài)呢?處理器模式說明備注 用戶 (usr)正常程序執(zhí)行模式不能直接切換到其它模式 系統(tǒng) (sys)運(yùn)行操作系統(tǒng)的特權(quán)任務(wù)與用戶模式類似,但具有可以直接切換到其它模式等特權(quán) 快中斷 (fiq)支持高速數(shù)據(jù)傳輸及通道處理FIQ異常響應(yīng)時進(jìn)入此模式中斷 (irq)用于通用中斷處理IRQ異常響應(yīng)時進(jìn)入此模式 管理 (svc)操作系統(tǒng)保護(hù)模式系統(tǒng)復(fù)位和軟件中斷響應(yīng)時進(jìn)入此模式 中止 (abt)用于支持虛擬內(nèi)存和/或存儲器保護(hù)在ARM7TDMI沒有大用處 未定義 (und)支持硬件協(xié)處理器的軟件仿真未定義指令異常響應(yīng)時進(jìn)入此模式

19、ARM狀態(tài):此時處理器執(zhí)行32位的字對齊的ARM指令Thumb狀態(tài):此時處理器執(zhí)行16位的、半字對齊的Thumb指令21、ARM處理器的8種基本尋址方式及應(yīng)用實(shí)例。 1寄存器尋址; 2立即尋址; 3寄存器移位尋址; 4寄存器間接尋址; 5基址尋址; 6多寄存器尋址; 7堆棧尋址: 8塊拷貝尋址;22、總線的主要參數(shù):總線寬度、總線頻率、總線帶寬。它們之間的關(guān)系是什么?總線寬度,又稱總線位寬,指的是總線能同時傳送數(shù)據(jù)的位數(shù)。總線頻率,總線工作速度的一個重要參數(shù),工作頻率越高,速度越快。通常用MHZ表示??偩€帶寬,又稱總線的數(shù)據(jù)傳送率,是指在一定時間內(nèi)總線上可傳送的數(shù)據(jù)總量,用每秒最大傳送數(shù)據(jù)量來

20、衡量??偩€帶寬越寬,傳輸率越高。關(guān)系:總線帶寬(單位:MBs)=(總線寬度/8)×總線頻率23、I/O端口的編址方式有哪些?ARM處理器使用的哪一種?存儲器映射編址: I/O端口的地址與內(nèi)存地址統(tǒng)一編址,即I/O單元與內(nèi)存單元在同一地址空間I/O映射編址:I/O端口與內(nèi)存單元分開編址,即I/O單元與內(nèi)存單元都有自己獨(dú)立的地址空間ARM選用的是:存儲器映射編址24、ARM9TDMI中的T、D、M、I的含義是什么?T:16位寬度的壓縮指令集(Thumb)D:支持在片調(diào)試(Debug),允許處理器響應(yīng)調(diào)試請求暫停M;具有增強(qiáng)型乘法器,可生成64位的結(jié)果I:嵌入式ICE部件,可提供片上斷點(diǎn)和

21、調(diào)試點(diǎn)的支持第3章 嵌入式硬件系統(tǒng)設(shè)計25、最小硬件系統(tǒng)主要包括哪些部分?最小硬件系統(tǒng)通常是指以嵌入式處理器為核心,包含電源、時鐘和復(fù)位等保障處理器正常工作的基本硬件電路 。26、鎖相環(huán)的主要用途是什么?畫出其簡要原理框圖,并闡述其基本原理。結(jié)合課件例子能夠簡單編程實(shí)現(xiàn)利用PLL倍頻,得到系統(tǒng)運(yùn)行時鐘。(掌握通過寄存器編程,實(shí)現(xiàn)硬件功能的方法)鎖相環(huán)技術(shù)目前的應(yīng)用集中在以下三個方面:第一 信號的調(diào)制和解調(diào);第二 信號的調(diào)頻和解調(diào);第三信號頻率合成電路。鑒相器是相位比較裝置,用來比較輸入信號ui(t)與壓控振蕩器輸出信號uo(t) 的相位,它的輸出電壓ud(t)是對應(yīng)于這兩個信號相位差的函數(shù)。

22、環(huán)路濾波器的作用是濾除ud(t)中的高頻分量及噪聲,以保證環(huán)路所要求的性能。 壓控振蕩器受環(huán)路濾波器輸出電壓uc(t)的控制,使振蕩頻率向輸入信號的頻率靠攏,直至兩者的頻率相同,使得VCO輸出信號的相位和輸入信號的相位保持某種特定的關(guān)系,達(dá)到相位鎖定的目的。 27、典型計算機(jī)的存儲層次是什么?存儲系統(tǒng)面臨的兩個主要問題是什么? 存儲系統(tǒng)面臨的兩個問題:(1)微處理器主時鐘頻率的提高比存儲器速度的提高要快,以至于目前用作主存儲器的存儲芯片速度比微處理器的速度要低許多;(2)在嵌入式系統(tǒng)硬件設(shè)計時,有時所設(shè)計的存儲容量并不能滿足程序所需的全部存儲容量要求,即需要在一個相對較小的物理存儲空間中提供相

23、對較大的虛擬存儲空間。28、Nand Flash和Nor Flash的區(qū)別?SRAM和SDRAM的區(qū)別? (1) 性能比較 NOR和NAND是現(xiàn)在市場上兩種主要的非易失閃存技術(shù) NOR的讀速度比NAND稍快一些 NAND的寫入速度比NOR快很多 NAND的擦除速度遠(yuǎn)比NOR的快 大多數(shù)寫入操作需要先進(jìn)行擦除操作 NAND的擦除單元更小,相應(yīng)的擦除電路更少 (2)接口差別 NOR FLASH帶有SRAM接口,線性尋址,可以很容易地存取其內(nèi)部的每一個字節(jié) NAND FLASH使用復(fù)用接口和控制IO多次尋址存取數(shù)據(jù)(3)容量和成本 NAND FLASH生產(chǎn)過程更為簡單,成本低 常見的NOR FLAS

24、H為128KB16MB,而NAND FLASH通常有8128MB (4)可靠性和耐用性 在NAND中每塊的最大擦寫次數(shù)是100萬次,而NOR的擦寫次數(shù)是10萬次 位交換的問題NAND FLASH中更突出,需要ECC糾錯 NAND FLASH中壞塊隨機(jī)分布,需要通過軟件標(biāo)定產(chǎn)品量產(chǎn)的問題 SRAM讀寫速度比SDRAM讀寫速度快; SRAM比SDRAM功耗大; SDRAM的集成度可以做得更大,則其存儲容量更大; SDRAM需要周期性地刷新,而SRAM不需要。29、SDRAM的尋址方式是什么?Nand Flash的尋址方式是什么? 30、什么是CACHE?為什么要引入CACHE?CACHE的工作原理

25、。 (提示:理解例1)Cache是一種小容量的高速緩沖存儲器。 高速緩沖存儲器Cache是位于CPU與內(nèi)存之間的臨時存儲器,它的容量比內(nèi)存小但交換速度快。在Cache中的數(shù)據(jù)是內(nèi)存中的一小部分,但這一小部分是短時間內(nèi)CPU即將訪問的,當(dāng)CPU調(diào)用數(shù)據(jù)時,就可避開內(nèi)存直接從Cache中調(diào)用,從而加快讀取速度。由此可見,在CPU中加入Cache是一種高效的解決方案,這樣整個內(nèi)存儲器(Cache+內(nèi)存)就變成了既有Cache的高速度,又有內(nèi)存的大容量的存儲系統(tǒng)了。一般Cache采用高速的SRAM制作,其價格比主存貴,但因其容量遠(yuǎn)小于主存,因此能很好地解決速度和成本的矛盾。 在Cache存儲系統(tǒng)中,把

26、Cache和主存劃分為相同大小的塊。主存地址可以使用塊號(B)和塊內(nèi)地址(W)兩部分組成。同樣Cache的地址也可以使用塊號(B)和塊內(nèi)地址(W)兩部分組成。 命中:當(dāng)CPU訪問存儲器時,通過存儲器地址變換部件把地址變換成cache的塊號和塊內(nèi)地址,如果變換成功(稱為cache命中),就用得到的cache地址訪問cache,從cache中取數(shù);如果變換失敗,則產(chǎn)生cache失效信息,并用主存儲器的地址訪問主存儲器,同時將包含被訪問字在內(nèi)的一整塊都從主存儲器讀出來,裝入到cache中,但如果這時cache已滿,需要采用適當(dāng)?shù)奶鎿Q算法來更新cache。設(shè)cache 的存取時間為tc,命中率為h,主

27、存的存取時間為tm,則平均存取時間:ta = tc ×h +(tc + tm)×(1-h)?!纠?】 某微機(jī)存儲器系統(tǒng)由一級cache 和主存組成。已知主存的存取時間為80 ns,cache 的存取時間為6 ns,cache的命中率為85%,試求該存儲系統(tǒng)的平均存取時間。ta =6 ns×85%+(6ns+80 ns)×(1-85%)=18 nscache的命中率與cache 的大小、替換算法、程序特性等因素有關(guān)。cache未命中時CPU還需要訪問主存,這時反而延長了存取時間。 31、什么是虛擬內(nèi)存技術(shù)?為什么要引入虛擬內(nèi)存技術(shù)? 所謂虛擬存儲機(jī)制,指的

28、是把多個存儲介質(zhì)模塊通過一定的手段集中管理。即利用一個存儲池(Storage Pool)將所有存儲介質(zhì)模塊統(tǒng)一管理,因而從系統(tǒng)角度看到的就不是多個存儲介質(zhì)模塊,而是一個被分區(qū)或者分卷的超大容量的存儲系統(tǒng)。這種將多個存儲介質(zhì)模塊統(tǒng)一管理起來,為使用者提供大容量、高數(shù)據(jù)傳輸性能的存儲系統(tǒng)的技術(shù),就稱為虛擬存儲技術(shù)。32、存儲管理單元MMU的作用是什么?為什么引入快表? MMU是Memory Management Unit的縮寫,中文名是內(nèi)存管理單元,它是CPU中用來管理虛擬存儲器、物理存儲器的控制線路,同時也負(fù)責(zé)將虛擬地址映射為物理地址,以及提供硬件機(jī)制的內(nèi)存訪問授權(quán)。 快表是一個高速、具有并行查

29、詢能力的聯(lián)想存儲器,用于存放正運(yùn)行的進(jìn)程的當(dāng)前頁號和塊號,或者段號和段起始地址。加入快表后,在地址轉(zhuǎn)換時,首先在快表中查找,若找到就直接進(jìn)行地址轉(zhuǎn)換;未找到,則在主存頁表繼續(xù)查找,并把查到的頁號和塊號放入快表中??毂淼拿新屎芨?,有效地提高了地址轉(zhuǎn)換的速度。一頁式系統(tǒng),其頁表存放在主存中:(提示:理解課件例2)有一頁式系統(tǒng),其頁表存放在主存中: (1)如果對主存的一次存取需要2.5s,試問實(shí)現(xiàn)一次頁面訪問的存取時間是多少? (2)如果系統(tǒng)加有快表,平均命中率為80%,當(dāng)頁表項在快表中時,其查找時間為0.05s,(1)頁表在主存,兩次訪問內(nèi)存:先訪問頁表,找到線性地址對應(yīng)的物理地址,再利用這物理

30、地址訪問實(shí)際的內(nèi)存頁面,故共用2*2.5us=5us (2)如果有快表,那就可以分為命中和未命中兩種情況: 命中 查詢快表 + 訪問內(nèi)存 未命中 查詢快表 + 查詢頁表+訪問內(nèi)存因而此時的存取時間為: 0.8*(0.05s+2.5s)+(1-0.8)*(0.05s+2.5s*2)=3.05s33、設(shè)計接口電路的必要性是什么?I/O接口設(shè)計的重點(diǎn)又是什么?I/O接口電路也簡稱接口電路。它是主機(jī)和外圍設(shè)備之間交換信息的連接部件(電路)。它在主機(jī)和外圍設(shè)備之間的信息交換中起著橋梁和紐帶作用。設(shè)計接口電路的必要性:a)解決CPU和外圍設(shè)備之間的時序配合和通信聯(lián)絡(luò)問題。b)解決CPU和外圍設(shè)備之間的數(shù)據(jù)

31、格式轉(zhuǎn)換和匹配問題。c)解決CPU的負(fù)載能力和外圍設(shè)備端口選擇問題。I/O接口設(shè)計的重點(diǎn): 設(shè)計I/O接口邏輯以及開發(fā)其驅(qū)動程序。34、一個典型的I/O接口邏輯內(nèi)部通常具有哪幾種類型的寄存器?其各自的作用是什么?(會對I/O接口進(jìn)行簡單編程操作,提示:理解課件例3)例3:使用S3C2440A的端口G的第4 7引腳驅(qū)動四個LED,并點(diǎn)亮GPG4引腳的LED。寄存器GPGDAT和GPGUP寬度均為16位,各引腳按其編號與相應(yīng)的寄存器位對應(yīng)。GPGDAT中存放的即為需要輸出的數(shù)據(jù),根據(jù)硬件電路連接圖可知,要將第4引腳LED點(diǎn)亮,則對應(yīng)的引腳應(yīng)輸出低電平,所以寄存器GPGDAT中的7:4位應(yīng)設(shè)置為二進(jìn)

32、制值1110。本例中端口為輸出功能,因此寄存器GPGUP中對應(yīng)各位均設(shè)置為1,將上拉電阻斷開。GPGCONEQU0x56000060 GPGDATEQU0x56000064 GPUPEQU0x56000068;配置GPGCON寄存器,設(shè)置相關(guān)引腳為輸出功能 配置GPGUP寄存器,斷開各上拉電阻LDRR0, =GPGCON LDRR0, =GPGUP LDRR1, R0 LDRR1, R0 BICR1, R1, #0x0000FF00 ORRR1, R1, #0x00F0 ORRR1, R1, #0x00005500 STRR1, R0 STRR1, R0;輸出驅(qū)動數(shù)據(jù),點(diǎn)亮GPG4引腳對應(yīng)的L

33、EDLDRR2, =GPGDATLDRR3, R2ORRR3, R3, #0x00F0BICR3, R3, #0x0010STRR3, R235、常見的觸摸屏分為哪幾類?其各自的原理是什么? 電阻式觸摸屏 電容式觸摸屏 紅外式觸摸屏 表面聲波觸摸屏 36、OSI結(jié)構(gòu)模型具體由哪幾層組成,其各層的作用分別是什么?TCP/IP模型的具體由哪幾層組成,其各層的作用分別是什么?(1)物理層。規(guī)定了網(wǎng)絡(luò)設(shè)備間最底層的接口特性,包括物理連接的機(jī)械特性(即接插件的大小、形狀等)、電氣特性(即代表邏輯“1”和邏輯“0”的電參數(shù))、電子部件和物理部件的基本功能以及位交換的基本過程。(2)數(shù)據(jù)鏈路層。主要作用是控

34、制信息在單一鏈路中傳輸?shù)牟铄e,通常包括傳輸信息的校驗(yàn)、總線錯誤檢測等。(3)網(wǎng)絡(luò)層。定義了基本的端到端數(shù)據(jù)傳輸服務(wù),網(wǎng)絡(luò)層在多數(shù)據(jù)鏈路存儲轉(zhuǎn)發(fā)網(wǎng)絡(luò)中特別重要。(4)傳輸層。定義了面向連接的服務(wù),它可以保證數(shù)據(jù)按一定的順序、無差錯地在多條鏈路上傳送。(5)會話層。提供了一種控制網(wǎng)絡(luò)上終端用戶交互的機(jī)制,例如數(shù)據(jù)分組和檢測點(diǎn)。(6)表示層。規(guī)定了數(shù)據(jù)交換的格式,并且為應(yīng)用程序提供有效的轉(zhuǎn)換工具。(7)應(yīng)用層。提供了終端用戶程序和網(wǎng)絡(luò)之間的一個應(yīng)用程序接口。37、網(wǎng)卡的主要功能主要是什么?理解數(shù)據(jù)封裝和解封裝的過程。理解和熟悉差分曼切斯特編解碼。數(shù)據(jù)的封裝與解封。發(fā)送時將上一層交下來的數(shù)據(jù)加上首部和

35、尾部,成為以太網(wǎng) 的幀。接受時將以太網(wǎng)的幀剝?nèi)ナ撞颗c尾部,然后送交上一層。 鏈路管理,主要是CSMA/CD協(xié)議的實(shí)現(xiàn)。 編碼與譯碼,即曼徹斯特編碼與譯碼?,F(xiàn)在我們要講的 就是差分曼徹斯特編碼:在信號位開始時改變信號極性,表示邏輯"0" ;在信號位開始時不改變信號極性,表示邏輯"1";如右圖a)NRZ(不歸零碼)b)曼徹斯特碼c)差分曼徹斯特碼38、簡要介紹RS232標(biāo)準(zhǔn)和RS485標(biāo)準(zhǔn)?結(jié)合課件深入理解如何對S3C2440的UART0進(jìn) 行編程操作。(提示:理解課件例5)例5:UART0采用查詢方式進(jìn)行數(shù)據(jù)通信,要求使用8位數(shù)據(jù)位,1位停止位,奇校驗(yàn),傳

36、輸速率115200bps,不使用FIFO,關(guān)閉流控制,處理器外設(shè)時鐘PCLK=66.68MHz。步驟1:接口初始化設(shè)置幀格式、時鐘來源、收發(fā)模式、中斷配置、FIFO的使用、波特率計算等 步驟2:數(shù)據(jù)傳遞可選擇使用查詢方式或中斷方式傳遞 圖片放置遵循從上到下,從左到右的順序第4章 嵌入式Linux操作系統(tǒng)39、操作系統(tǒng)的主要功能是什么? 操作系統(tǒng)抽象了一個實(shí)際的硬件系統(tǒng),使用戶程序運(yùn)行在一個虛擬的硬件上。(1)存儲器管理(2)進(jìn)程管理(3)設(shè)備驅(qū)動(4)文件系統(tǒng)40、實(shí)時操作系統(tǒng)的特點(diǎn)?評價嵌入式實(shí)時操作系統(tǒng)的主要指標(biāo)是什么? 一般實(shí)時操作系統(tǒng)應(yīng)用于實(shí)時處理系統(tǒng)的上位機(jī)和實(shí)時查詢系統(tǒng)等實(shí)時性較弱

37、的實(shí)時系統(tǒng),并且提供了開發(fā)、調(diào)試、運(yùn)用一致的環(huán)境。 嵌入式實(shí)時操作系統(tǒng)應(yīng)用于實(shí)時性要求高的實(shí)時控制系統(tǒng),嵌入式實(shí)時操作系統(tǒng)具有規(guī)模小(一般在幾K幾十K 內(nèi))、可固化使用實(shí)時性強(qiáng)(在毫秒或微秒數(shù)量級上)的特點(diǎn) 。主要有三個指標(biāo)來衡量系統(tǒng)的性能:1. 響應(yīng)時間(Response Time)2. 生存時間(Survival Time)3. 吞吐量(Throughput)41、目前流行的嵌入式操作系統(tǒng)的體系結(jié)構(gòu)有哪三種?目前操作系統(tǒng)的體系結(jié)構(gòu)可分為:單塊結(jié)構(gòu)、客戶/服務(wù)器(微內(nèi)核)結(jié)構(gòu)和層次結(jié)構(gòu)。42、Linux操作系統(tǒng)的內(nèi)核主要由哪幾個子系統(tǒng)組成,簡要說明其各子系統(tǒng)的作用。uClinux,RTLin

38、ux各自的特點(diǎn)和適用場合是什么?1.進(jìn)程調(diào)度 進(jìn)程調(diào)度模塊負(fù)責(zé)控制進(jìn)程對CPU資源的使用。所采取的調(diào)度策略是使得各個進(jìn)程能夠公平合理地訪問CPU,同時保證內(nèi)核能及時地執(zhí)行硬件操作。2.內(nèi)存管理 內(nèi)存管理模塊用于確保所有進(jìn)程能夠安全地共享主存儲區(qū)域。3.文件系統(tǒng) 文件系統(tǒng)模塊用于支持對外部設(shè)備的驅(qū)動和操作。虛擬文件系統(tǒng)模塊通過向所有的外部存儲設(shè)備提供一個通用的文件接口,隱藏了各種硬件設(shè)備的不同細(xì)節(jié),從而提供并支持與其他操作系統(tǒng)兼容的多種文件系統(tǒng)格式。4. 網(wǎng)絡(luò)接口 網(wǎng)絡(luò)接口提供了對各種網(wǎng)絡(luò)標(biāo)準(zhǔn)的存取和對各種網(wǎng)絡(luò)硬件的支持。網(wǎng)絡(luò)接口可分為網(wǎng)絡(luò)協(xié)議和網(wǎng)絡(luò)驅(qū)動程序。網(wǎng)絡(luò)協(xié)議部分負(fù)責(zé)實(shí)現(xiàn)每一種可能的網(wǎng)絡(luò)

39、傳輸協(xié)議。網(wǎng)絡(luò)設(shè)備驅(qū)動程序負(fù)責(zé)與硬件設(shè)備通信,每一種可能的硬件通信設(shè)備都有相應(yīng)的設(shè)備驅(qū)動程序。5. 進(jìn)程間通信 支持進(jìn)程之間各種通信機(jī)制。其通信機(jī)制主要包括信號、文件鎖、管道、等待隊列、信號量、消息隊列、共享內(nèi)存和套接字等。uClinux是嵌入式Linux操作系統(tǒng)的一個重要分支它繼承了Linux的優(yōu)秀性能,是專門針對無MMU的處理器設(shè)計的嵌入式操作系統(tǒng)RTLinux(A Real-Time Linux,亦稱作實(shí)時Linux)是Linux中的一種硬實(shí)時操作系統(tǒng)。RT-Linux開發(fā)者并沒有針對實(shí)時操作系統(tǒng)的特性而重寫Linux的內(nèi)核將linux的內(nèi)核代碼做一些修改,將linux本身的任務(wù)以及l(fā)i

40、nux內(nèi)核本身作為一個優(yōu)先級很低的任務(wù),而實(shí)時任務(wù)作為優(yōu)先級最高的任務(wù)。即在實(shí)時任務(wù)存在的情況下運(yùn)行實(shí)時任務(wù),否則才運(yùn)行l(wèi)inux本身的任務(wù)。適用場合:uClinux:1、目標(biāo)處理器沒有存儲管理單元MMU2、對實(shí)時性要求不高的低端平臺RTLinux:1、需要運(yùn)用Linux的各種服務(wù)2、對實(shí)時性要求較高的場合43、Linux內(nèi)核的雙樹系統(tǒng)。 Linux內(nèi)核采用的是雙樹系統(tǒng) 一棵是穩(wěn)定樹,主要用于發(fā)行 另一棵是非穩(wěn)定樹或稱為開發(fā)樹,用于產(chǎn)品開發(fā)和改進(jìn) Linux內(nèi)核版本號由3位數(shù)字組成 如Linux內(nèi)核1.1.0相對于1.0.0來說是“不穩(wěn)定”版本。在Linux內(nèi)核開發(fā)過程中,“不穩(wěn)定”版本通常是

41、在原有版本基礎(chǔ)上增加了新的功能或者新的特性。44、理解任務(wù)調(diào)度的基本原理。 調(diào)度用來確定多任務(wù)環(huán)境下任務(wù)執(zhí)行的順序和在獲得CPU資源后能夠執(zhí)行的時間長度。 操作系統(tǒng)通過一個調(diào)度程序來實(shí)現(xiàn)調(diào)度功能。 調(diào)度程序以函數(shù)的形式存在,用來實(shí)現(xiàn)操作系統(tǒng)的調(diào)度算法。 調(diào)度程序本身并不是一個任務(wù),是一個函數(shù)調(diào)用,可在內(nèi)核的各個部分進(jìn)行調(diào)用。45、在操作系統(tǒng)中,I/O管理采用層次結(jié)構(gòu)的思想。從上到下一般分為幾層?各層的主要作 用是什么?(1)API層設(shè)備管理層驅(qū)動邏輯層硬件抽象層設(shè)備層(2)體系結(jié)構(gòu)1. 硬件抽象層是硬件功能模塊的集合,是對硬設(shè)備功能的第一層抽象,實(shí)現(xiàn)基本的IO操作。所關(guān)心的是如何實(shí)現(xiàn)硬件功能的

42、軟件接口,而不是設(shè)備的管理邏輯。每個硬件可能有多個端口(設(shè)備),對應(yīng)的硬件抽象層是對這些端口(設(shè)備)操作的基本抽象,為驅(qū)動程序管理這些設(shè)備提供接口。上層驅(qū)動程序在不需要了解具體設(shè)備硬件信息的情況下,就可以操作這些設(shè)備。 2. 驅(qū)動邏輯層存放系統(tǒng)中的所有驅(qū)動程序 實(shí)現(xiàn)設(shè)備的管理邏輯 通過硬件抽象層來管理設(shè)備 每個驅(qū)動程序管理一類設(shè)備,例如所有串口都由串口驅(qū)動程序來管理,所有中斷控制器都由中斷控制器驅(qū)動程序管理。 檢測設(shè)備和初始化設(shè)備使設(shè)備投入運(yùn)行和退出服務(wù)從設(shè)備接收數(shù)據(jù)并提交給內(nèi)核從內(nèi)核接收數(shù)據(jù)送到設(shè)備檢測和處理設(shè)備錯誤3. 設(shè)備管理層管理系統(tǒng)中的設(shè)備,并向上提高統(tǒng)一的接口。設(shè)備管理層不直接操作

43、設(shè)備,它只能通過驅(qū)動程序來間接操作設(shè)備。 4. API層用于對設(shè)備管理層提供的驅(qū)動結(jié)構(gòu)進(jìn)行進(jìn)一步的包裝,以方便應(yīng)用程序使用。 46、Linux系統(tǒng)的設(shè)備分為哪幾類?各自的特點(diǎn)。 Linux系統(tǒng)的設(shè)備分為如下三類: 字符設(shè)備(char device) 塊設(shè)備(block device) 網(wǎng)絡(luò)設(shè)備(network device) 字符設(shè)備是指存取時沒有緩存的設(shè)備 塊設(shè)備的讀寫都有緩存來支持,且塊設(shè)備必須能夠隨機(jī)存取(random access) 網(wǎng)絡(luò)設(shè)備在Linux里做專門的處理 47、簡述Linux驅(qū)動程序開發(fā)流程。(1) 查看原理圖、數(shù)據(jù)手冊,了解設(shè)備的操作方法。(2)在內(nèi)核中找到相近的驅(qū)動程

44、序,以它為模板進(jìn)行開發(fā)。(3)實(shí)現(xiàn)驅(qū)動程序的初始化:比如向內(nèi)核注冊這個驅(qū)動程序,這 樣應(yīng)用程序傳入文件名時,內(nèi)核才能找到相應(yīng)的驅(qū)動程序。(4)設(shè)計所要實(shí)現(xiàn)的操作,比如open、close、read、write等函數(shù)。(5)實(shí)現(xiàn)中斷服務(wù)(不是每個設(shè)備驅(qū)動所必須的)(6)編譯該驅(qū)動程序到內(nèi)核中,或者用insmod命令加載。(7)測試驅(qū)動程序。48、什么是進(jìn)程?進(jìn)程的特征有哪些?進(jìn)程與程序的關(guān)系是什么?進(jìn)程是一個具有一定獨(dú)立功能的程序關(guān)于某個數(shù)據(jù)集合的一次運(yùn)行活動。它是操作系統(tǒng)動態(tài)執(zhí)行的基本單元,在傳統(tǒng)的操作系統(tǒng)中,進(jìn)程既是基本的分配單元,也是基本的執(zhí)行單元。進(jìn)程是一個具有獨(dú)立功能的程序關(guān)于某個數(shù)據(jù)集合的一次運(yùn)行活動。它可以申請和擁有系統(tǒng)資源,是一個動態(tài)的概念,是一個活動的實(shí)體。它不只是程序的代碼

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論