方波-三角波-正玄波函數(shù)發(fā)生器設(shè)計(jì)_第1頁(yè)
方波-三角波-正玄波函數(shù)發(fā)生器設(shè)計(jì)_第2頁(yè)
方波-三角波-正玄波函數(shù)發(fā)生器設(shè)計(jì)_第3頁(yè)
方波-三角波-正玄波函數(shù)發(fā)生器設(shè)計(jì)_第4頁(yè)
方波-三角波-正玄波函數(shù)發(fā)生器設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩13頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 路則法-方波-三角波-正玄波函數(shù)發(fā)生器設(shè)計(jì)目 錄1 函數(shù)發(fā)生器的總方案及原理框圖1.1 電路設(shè)計(jì)原理框圖 1.2 電路設(shè)計(jì)類型2設(shè)計(jì)的目的及任務(wù)2.1 課程設(shè)計(jì)的目的2.2 課程設(shè)計(jì)的任務(wù)與要求2.3 課程設(shè)計(jì)的技術(shù)指標(biāo)3部分選擇電路及其原理3.1集成函數(shù)發(fā)生器8038簡(jiǎn)介.2 方波-三角波轉(zhuǎn)換電路的工作原理4 電路仿真4.1 方波-三角波發(fā)生電路的仿真4.2 三角波-正弦波轉(zhuǎn)換電路的仿真4.3正弦波-方波-三角波電路輸出5電路的原理5.1電路圖及元件原理5.2 電路各部分作用5.3 總電路的安裝與調(diào)試6心得體會(huì)8 儀器儀表明細(xì)清單9 參考文獻(xiàn)1函數(shù)發(fā)生器總方案及原理框圖一、主原理框圖1.1

2、 555定時(shí)器的工作原理 555定時(shí)器是一種功能強(qiáng)大的模擬數(shù)字混合集成電路,其組成電路框圖如圖22.32所示。555定時(shí)器有二個(gè)比較器A1和A2,有一個(gè)RS觸發(fā)器,R和S高電平有效。三極管VT1對(duì)清零起跟隨作用,起緩沖作用。三極管VT2是放電管,將對(duì)外電路的元件提供放電通路。比較器的輸入端有一個(gè)由三個(gè)5kW電阻組成的分壓器,由此可以獲得 和 兩個(gè)分壓值,一般稱為閾值。555定時(shí)器的1腳是接地端GND,2腳是低觸發(fā)端TL,3腳是輸出端OUT,4腳是清除端Rd,5腳是電壓控制端CV,6腳是高觸發(fā)端TH,7腳是放電端DIS,8腳是電源端VCC。555定時(shí)器的輸出端電流可以達(dá)到200mA,因此可以直接

3、驅(qū)動(dòng)與這個(gè)電流數(shù)值相當(dāng)?shù)呢?fù)載,如繼電器、揚(yáng)聲器、發(fā)光二極管等。2、單穩(wěn)類電路 單穩(wěn)工作方式,它可分為3種。見圖示。第1種(圖1)是人工啟動(dòng)單穩(wěn),又因?yàn)槎〞r(shí)電阻定時(shí)電容位置不同而分為2個(gè)不同的單元,并分別以1.1.1 和1.1.2為代號(hào)。他們的輸入端的形式,也就是電路的結(jié)構(gòu)特點(diǎn)是:“RT-6.2-CT”和“CT-6.2-RT”。第2種(圖2)是脈沖啟動(dòng)型單穩(wěn),也可以分為2個(gè)不同的單元。他們的輸入特點(diǎn)都是“RT-7.6-CT”,都是從2端輸入。1.2.1電路的2端不帶任何元件,具有最簡(jiǎn)單的形式;1.2.2電路則帶有一個(gè)RC微分電路。第3種(圖3)是壓控振蕩器。單穩(wěn)型壓控振蕩器電路有很多,都比較復(fù)雜

4、。為簡(jiǎn)單起見,我們只把它分為2個(gè)不同單元。不帶任何輔助器件的電路為1.3.1;使用晶體管、運(yùn)放放大器等輔助器件的電路為1.3.2。圖中列出了2個(gè)常用電路。1.2 函數(shù)發(fā)生器的總方案 函數(shù)發(fā)生器一般是指能自動(dòng)產(chǎn)生正弦波、三角波、方波及鋸齒波、階梯波等電壓波形的電路或儀器。根據(jù)用途不同,有產(chǎn)生三種或多種波形的函數(shù)發(fā)生器,使用的器件可以是分立器件 (如低頻信號(hào)函數(shù)發(fā)生器S101全部采用晶體管),也可以采用集成電路(如單片函數(shù)發(fā)生器模塊8038)。為進(jìn)一步掌握電路的基本理論及實(shí)驗(yàn)調(diào)試技術(shù),本課題采用由集成運(yùn)算放大器與晶體管差分放大器共同組成的方波三角波正弦波函數(shù)發(fā)生器的設(shè)計(jì)方法。產(chǎn)生正弦波、方波、三角

5、波的方案有多種,如首先產(chǎn)生正弦波,然后通過(guò)整形電路將正弦波變換成方波,再由積分電路將方波變成三角波;也可以首先產(chǎn)生三角波方波,再將三角波變成正弦波或?qū)⒎讲ㄗ兂烧也ǖ鹊?。本課題采用先產(chǎn)生方波三角波,再將三角波變換成正弦波的電路設(shè)計(jì)方法,本課題中函數(shù)發(fā)生器電路組成框圖如下所示:由比較器和積分器組成方波三角波產(chǎn)生電路,比較器輸出的方波經(jīng)積分器得到三角波,三角波到正弦波的變換電路主要由差分放大器來(lái)完成。差分放大器具有工作點(diǎn)穩(wěn)定,輸入阻抗高,抗干擾能力較強(qiáng)等優(yōu)點(diǎn)。特別是作為直流放大器時(shí),可以有效地抑制零點(diǎn)漂移,因此可將頻率很低的三角波變換成正弦波。波形變換的原理是利用差分放大器傳輸特性曲線的非線性。2

6、課程設(shè)計(jì)的目的和設(shè)計(jì)的任務(wù)2.1 設(shè)計(jì)目的1掌握電子系統(tǒng)的一般設(shè)計(jì)方法2掌握模擬IC器件的應(yīng)用3培養(yǎng)綜合應(yīng)用所學(xué)知識(shí)來(lái)指導(dǎo)實(shí)踐的能力4掌握常用元器件的識(shí)別和測(cè)試 5熟悉常用儀表,了解電路調(diào)試的基本方法2.2設(shè)計(jì)任務(wù) 設(shè)計(jì)方波三角波正弦波函數(shù)信號(hào)發(fā)生器2.3課程設(shè)計(jì)的要求及技術(shù)指標(biāo)1、設(shè)計(jì)、組裝、調(diào)試函數(shù)發(fā)生器2、輸出波形:正弦波、方波、三角波;3、要有穩(wěn)定的輸出波形。4、頻率范圍: 100HZ1kHZ, 1HZ10kHZ;輸出電壓: 方波VP-P24V , 三角波VP-P6V;波形特性: 方波tr30s(1KHZ ,最大輸出時(shí)),三角波2 3、部分選擇電路及其原理1、集成函數(shù)發(fā)生器8038簡(jiǎn)介

7、18038的工作原理由手冊(cè)和有關(guān)資料可看出,8038由恒流源I1、I2,電壓比較器C1、C2和觸發(fā)器等組成。其內(nèi)部原理電路框圖和外部引腳排列分別如圖XX_01和圖XX_02所示。1. 正弦波線性調(diào)節(jié);2. 正弦波輸出;3. 三角波輸出;4. 恒流源調(diào)節(jié);5. 恒流源調(diào)節(jié);6. 正電源;7. 調(diào)頻偏置電壓;8. 調(diào)頻控制輸入端;9. 方波輸出(集電極開路輸出); 10. 外接電容;11. 負(fù)電源或接地;12.正弦波線性調(diào)節(jié);13、14. 空腳2. 如圖所示為采用8038的函數(shù)發(fā)生電路。采用集成電路芯片8038構(gòu)成的函數(shù)發(fā)生器可同時(shí)獲得方波、三角波和正弦波。三角波通過(guò)電容恒流放電而直接形成;方波由

8、控制信號(hào)獲得;正弦波由三角波通過(guò)折線近似電路獲得。通過(guò)這種方式獲得的正弦波不是平滑曲線,其失真率為1左右,可滿足一般用途的需要。電路中的電位器PR1用于調(diào)整頻率,調(diào)整范圍為20Hz到20kHz。PR2用于調(diào)整波形的失真率,PR3用于調(diào)整波形的占空比。在圖XX_01中,電壓比較器C1、C2的門限電壓分別為2VR/3和VR/3( 其中VR=VCC+VEE),電流源I1和I2的大小可通過(guò)外接電阻調(diào)節(jié),且I2必須大于I1。當(dāng)觸發(fā)器的Q端輸出為低電平時(shí),它控制開關(guān)S使電流源I2斷開。而電流源I1則向外接電容C充電,使電容兩端電壓VC隨時(shí)間線性上升,當(dāng)VC上升到VC=2VR/3 時(shí),比較器C1輸出發(fā)生跳變

9、,使觸發(fā)器輸出Q端由低電平變?yōu)楦唠娖?,控制開關(guān)S使電流源I2接通。由于I2>I1 ,因此電容C放電,VC隨時(shí)間線性下降。當(dāng)VC下降到VC<=Vr比較器C2輸出發(fā)生跳變,使觸發(fā)器輸出端Q又由高電平變?yōu)榈碗娖?,I2再次斷開,I1再次向C充電,VC時(shí)間線性上升。如此周而復(fù)始,產(chǎn)生振蕩。若I2=2I1 ,VC時(shí)間與下降時(shí)間相等,就產(chǎn)生三角波輸出到腳3。而觸發(fā)器輸出的方波,經(jīng)緩沖器輸出到腳9。三角波經(jīng)正弦波變換器變成正弦波后由腳2輸出。當(dāng)I1<I2<2I1 時(shí),VC升時(shí)間與下降時(shí)間不相等,管腳3輸出鋸齒波。因此,8038能輸出方波、三角波、正弦波和鋸齒波等四種不同的波形。有關(guān)觸發(fā)

10、器的工作原理見數(shù)字部分。圖9.5.1中的觸發(fā)器,當(dāng)R端為高電平、S端為低電平時(shí),Q端輸出低電平;反之,則Q端為高電平。28038的典型應(yīng)用由圖XX_02可見,管腳8為調(diào)頻電壓控制輸入端,管腳7輸出調(diào)頻偏置電壓,其值(指管腳6與7之間的電壓)是(VCC+VEE/5) ,它可作為管腳8的輸入電壓。此外,該器件的方波輸出端為集電極開路形式,一般需在正電源與9腳之間外接一電阻,其值常選用10kW左右,如圖XX_03所示。當(dāng)電位器Rp1動(dòng)端在中間位置,并且圖中管腳8與7短接時(shí),管腳9、3和2的輸出分別為方波、三角波和正弦波。電路的振蕩頻率f約為0.3/C(R1+RP1/2) 。調(diào)節(jié)RP1、RP2可使正弦

11、波的失真達(dá)到較理想的程度。 由于8038價(jià)格比較昂貴,因而不使用該種電路。2、通用函數(shù)發(fā)生器電路圖信號(hào)發(fā)生器可分為三部分:正弦波及三角波發(fā)生器、計(jì)數(shù)器和脈沖及斜波發(fā)生器。如圖所示,XR2206采用壓控振蕩器,頻率調(diào)整通過(guò)電位器RP5(10k)實(shí)現(xiàn),很容易調(diào)整到頻率千分之一以內(nèi)。如果改變固定電阻R3的阻值,也可改變RP5的阻值。正弦波和三角波電路和其它同類儀器不同,衰減開關(guān)S1變信號(hào)的幅值,不影響偏置電壓。根據(jù)需要的固定衰減(到20dB,電壓比為10),用R6,并聯(lián)固定電阻R7調(diào)整。也可接變阻器調(diào)整電阻。盡管調(diào)整電阻較貴,但易于實(shí)現(xiàn)。 R2206是一種單片集成函數(shù)發(fā)生器,能產(chǎn)生高穩(wěn)定度和高精度的

12、正弦波、三角波、矩形波等,這些輸出信號(hào)可受外加電壓控制、其工作頻率由外部參數(shù)設(shè)定。它的頻率工作范圍是0.01Hz1MHz,正弦波的失真度為0.5,圖2所示為采用XR2206組成的FSK信號(hào)發(fā)生器的基本電路。    XR2206內(nèi)部的VCO(壓控振蕩器)電路通過(guò)定時(shí)電容Ct分別與兩個(gè)接地電阻Rt1和Rt2相連,VCO的電流開關(guān)受輸入到9腳的TTL電平控制,2腳輸出調(diào)制的正弦波信號(hào)。電路的振蕩頻率由電容Ct和電阻Rt1、Rt2決定。 由于電路非常復(fù)雜,而且也沒(méi)有很搞的要求,即使?jié)M足,也沒(méi)有其他電路的標(biāo)準(zhǔn),有其他波的干擾。 4 .電路仿真4.1產(chǎn)生方波4.2產(chǎn)生三角波4

13、.3產(chǎn)生正弦波4.4三個(gè)波形進(jìn)行比較4.5電路仿真5各組成部分的工作原理一、這是制作要求的電路原理圖1、555定時(shí)器是一種集模擬,數(shù)字于一體的中規(guī)模集成電路。它不僅用于信號(hào)的產(chǎn)生和變換,還常用于控制與檢測(cè)。555 定時(shí)器成本低,性能可靠,只需要外接幾個(gè)電阻、電容,就可以實(shí)現(xiàn)多諧振蕩器、單穩(wěn)態(tài)觸發(fā)器及施密特觸發(fā)器等脈沖產(chǎn)生與變換電路。它也常作為定時(shí)器廣泛應(yīng)用于儀器儀表、家用電器、電子測(cè)量及自動(dòng)控制等方面1.下面是IC555的各個(gè)引腳的作用:(TR)為低電平觸發(fā)端。該端輸入電壓高于1/3UCC時(shí),比較器C2輸出為“1”,當(dāng)輸入電壓低于1/3UCC時(shí),比較器C2輸出為“0”。(u0)為輸出端。輸出為

14、“1”時(shí)的電壓比電源電壓UCC低2V左右。輸出最大電流為200mA。()為復(fù)位端。在此端輸入負(fù)脈沖(“0”電平,低于0.7V)可使觸發(fā)器直接置“0”,正常工作時(shí),應(yīng)將它接“1”(接+UCC)。(CO)為電壓控制端。靜態(tài)時(shí),此端電位為2/3UCC。若在此端外加直流電壓,可改變分壓器各點(diǎn)電位值。在沒(méi)有其他外部聯(lián)線時(shí),應(yīng)在該端與地之間接入0.01µF的電容,以防干擾引入比較器C1的同相端。(TH)為高電平觸發(fā)端。該輸入端電壓低于2/3UCC時(shí),比較器C1輸出為“1”,當(dāng)輸入電壓高于2/3UCC時(shí),比較器C1輸出為“0”。(D)為放電端,當(dāng)輸出U0=“0”,即觸發(fā)器= 1時(shí),放電晶體管T導(dǎo)通

15、,相當(dāng)7端對(duì)地短接。當(dāng)u0 為“1”,即= 0,T截止,7端與地隔離。和分別為電源端和接地端。CMOS555集成定時(shí)器的電源電壓在4.5V18V范圍內(nèi)使用。2、5 定時(shí)器的功能主要由兩個(gè)比較器決定。兩個(gè)比較器的輸出電壓控制 RS 觸發(fā)器和放電管的狀態(tài)。在電源與地之間加上電壓,當(dāng) 5 腳懸空時(shí),則電壓比較器 A1 的反相輸入端的電壓為 2VCC /3,A2 的同相輸入端的電壓為VCC /3。若觸發(fā)輸入端 TR 的電壓小于VCC /3,則比較器 A2 的輸出為 1,可使 RS 觸發(fā)器置 1,使輸出端 OUT=1。如果閾值輸入端 TH 的電壓大于 2VCC/3,同時(shí) TR 端的電壓大于VCC /3,

16、則 A1 的輸出為 1,A2 的輸出為 0,可將 RS 觸發(fā)器置 0,使輸出為 0 電平。表5.1  555集成定時(shí)器的功能表RDTHTRu0T0××0導(dǎo)通1大于2/3UCC大于1/3UCC0導(dǎo)通1小于2/3UCC小于1/3UCC1截止1小于2/3UCC大于1/3UCC保持保持2.工作狀況說(shuō)明555定時(shí)器×1 CD4060計(jì)數(shù)器×1 1555集成定時(shí)器 555集成定時(shí)器是模擬功能和數(shù)字邏輯功能相結(jié)合的一種雙極型中規(guī)模集成器件。外加電阻、電容可以組成性能穩(wěn)定而精確的多諧振蕩器、單穩(wěn)電路、施密特觸發(fā)器等。它是由上、下兩個(gè)電壓比較器、三個(gè)5k電阻、一

17、個(gè)RS觸發(fā)器、一個(gè)放電三極管 T以及功率輸出級(jí)組成。比較器 C1的同相輸入端接到由三個(gè)5 k電阻組成的分壓網(wǎng)絡(luò)的2/3Vcc處,反相輸入端為閥值電壓輸入端。比較器C2的反相輸入端接到分壓電阻網(wǎng)絡(luò)的1/3Vcc處,同相輸入端為觸發(fā)電壓輸入端,用來(lái)啟動(dòng)電路。兩個(gè)比較器的輸出端控制RS觸發(fā)器。RS觸發(fā)器設(shè)置有復(fù)位端 ,當(dāng)復(fù)位端處干低電平時(shí),輸出為低電平??刂齐妷憾耸潜容^器C1的基準(zhǔn)電壓端,通過(guò)外接元件或電壓源可改變控制端的電壓值,即可改變比較器C1、C2的參考電壓。不用時(shí)可將它與地之間接一個(gè)O01F的電容,以防止干擾電壓引入。555的電源電壓范圍是+4.5+18V,輸出電流可達(dá)100200mA,能直

18、接驅(qū)動(dòng)小型電機(jī)、繼電器和低阻抗揚(yáng)聲器。CMOS集成定時(shí)器CC7555的功能和TTL集成定時(shí)電路完全一樣,但驅(qū)動(dòng)能力小一些,內(nèi)部結(jié)構(gòu)也不同,555定時(shí)器的功能表見表14-1。圖 14-1 555電路引腳圖 圖14-2 TTL電路555電路結(jié)構(gòu)表14-1 555芯片功能表觸發(fā)閾值復(fù)位放電端輸出 H導(dǎo)通L H原狀態(tài) H截止H L導(dǎo)通L 2555定時(shí)器的應(yīng)用 單穩(wěn)態(tài)電路 單穩(wěn)態(tài)電路的組成和波形如圖14-3所示。當(dāng)電源接通后,Vcc通過(guò)電阻R向電容C充電,待電容上電壓Vc上升到2/3Vcc時(shí),RS觸發(fā)器置0,即輸出Vo=0,同時(shí)電容C通過(guò)三極管T放電,RS觸發(fā)器輸入變位1、1,輸出保持不變。當(dāng)觸發(fā)端的外

19、接輸入信號(hào)電壓Vi1/3Vcc時(shí),RS觸發(fā)器置1,即輸出Vo=1,同時(shí),三極管T截止。電源Vcc再次通過(guò)R向C充電。輸出電壓維持高電平的時(shí)間取決于RC的充電時(shí)間,待電容上電壓Vc上升到2/3Vcc時(shí),RS觸發(fā)器置0,即輸出Vo=0,當(dāng)t=tW時(shí),電容上的充電電壓為;所以輸出電壓的脈寬 tW=RCln31.1RC 一般R取1k10M,C1000pF。值得注意的是:t的重復(fù)周期必須大于tW,才能保證每一個(gè)負(fù)脈沖起作用。由上式可知,單穩(wěn)態(tài)電路的暫態(tài)時(shí)間與VCC無(wú)關(guān)。因此用555定時(shí)器組成的單穩(wěn)電路可以作為精密定時(shí)器。圖 14-3單穩(wěn)態(tài)電路的電路圖和波形圖 多諧振蕩器多諧振蕩器的電路圖和波形圖如圖14

20、-4所示。電源接通后,Vcc通過(guò)電阻R1、R2向電容C充電。當(dāng)電容上電VC=2/3Vcc時(shí),閥值輸入端受到觸發(fā),比較器C1翻轉(zhuǎn),輸出電壓Vo=0,同時(shí)放電管T導(dǎo)通,電容C通過(guò)R2放電;當(dāng)電容上電壓Vc=1/3Vcc時(shí),比較器C2輸出0,輸出電壓Vo=1。C放電終止、又重新開始充電,周而復(fù)始,形成振蕩。其振蕩周期與充放電的時(shí)間有關(guān):充電時(shí)間: 放電時(shí)間: 振蕩周期:T=tPH+tPL0.7(R1+2R2)C 振蕩頻率:f=1/T= 占空系數(shù): 當(dāng)R2>>R1時(shí),占空系數(shù)近似為50。圖14-4 多諧振蕩器的電路圖和波形圖由上分析可知: a)電路的振蕩周期T、占空系數(shù)D,僅與外接元件R1

21、、R2和C有關(guān),不受電源電壓變化的影響。 b)改變R1、R2,即可改變占空系數(shù),其值可在較大范圍內(nèi)調(diào)節(jié)。 c) 改變C的值,可單獨(dú)改變周期,而不影響占空系數(shù)。 另外,復(fù)位端也可輸入1個(gè)控制信號(hào)。復(fù)位端為低電平時(shí),電路停振。 施密特觸發(fā)器施密特觸發(fā)器電路圖和波形圖如圖14-5所示,其回差電壓為1/3Vcc。當(dāng)輸入電壓大于2/3Vcc時(shí)輸出低電平,當(dāng)輸入電壓小于1/3Vcc時(shí)輸出高電平,若在電壓控制端外接可調(diào)電壓Vco(1.55V),可以改變回差電壓VT。施密特觸發(fā)器可方便的地把非矩形波變換為矩形波,如三角波到方波。施密特觸發(fā)器可以將一個(gè)不規(guī)則的矩形波轉(zhuǎn)換為規(guī)則的矩形波。施密特觸發(fā)器可以選擇幅度達(dá)

22、到要求的脈沖,慮掉小幅的雜波。圖14-5 施密特觸發(fā)器電路圖和波形圖 3. CD4060是14位二進(jìn)制串行計(jì)數(shù)器,其引腳圖如圖146。 由14級(jí)二進(jìn)制計(jì)數(shù)器和非門組成的振蕩器組成,外接振蕩電路可以做時(shí)鐘源。圖66CD4060引腳圖 :時(shí)鐘輸入端,下降沿計(jì)數(shù);CP0:時(shí)鐘輸出端; :反向時(shí)鐘輸出端。 RD清零端為異步清零。 作為2Hz、4Hz、8Hz等時(shí)鐘脈沖源時(shí),典型接線方法如圖14-7,從計(jì)數(shù)器輸出端可以得到多種32.678kHz的分頻脈沖。圖6-7 4060作為時(shí)鐘源 可以加上RC回路構(gòu)成時(shí)鐘源。如圖14-8,其中T1.4RC 圖6-8 RC回路作為時(shí)鐘源圖6-6 CD4060引腳圖 4.

23、 CD4017是十進(jìn)制計(jì)數(shù)器/時(shí)序譯碼器,內(nèi)部有一個(gè)十進(jìn)制計(jì)數(shù)器和一個(gè)時(shí)序譯碼器,圖14-9是其引腳圖,CP為時(shí)鐘脈沖輸入,上升沿計(jì)數(shù), 為允許計(jì)數(shù),低電平有效,計(jì)數(shù)時(shí)Q0Q9的十個(gè)輸出端依次為高電平,RD為異步清零端,RD=1時(shí)Q0=1。計(jì)數(shù)器的輸出Q0Q4=1時(shí)進(jìn)位Co=1,Q5Q9=1時(shí)Co=0。圖69CD4017引腳圖普通計(jì)數(shù)器作為分頻時(shí),從計(jì)數(shù)器輸出引腳可以得到CP的2、4、8分頻的信號(hào),用N進(jìn)制計(jì)數(shù)器可以得到N分頻信號(hào)。依此原理用CD4017可以方便得到210分頻信號(hào),將CD4017輸出端Q2Q9分別與復(fù)位端相連,可以構(gòu)成29的分頻。如圖14-10所示構(gòu)成3分頻,當(dāng)高電平移到Q3時(shí)

24、,計(jì)數(shù)器復(fù)位,重新計(jì)數(shù),3分頻信號(hào)可以從Q0Q2中一個(gè)輸出,不接反饋復(fù)位則可以得到10分頻。2.2 RC積分電路原理電路結(jié)構(gòu)如圖,積分電路可將矩形脈沖波轉(zhuǎn)換為鋸齒波或三角波,還可將鋸齒波轉(zhuǎn)換為拋物波。電路原理很簡(jiǎn)單,都是基于電容的沖放電原理,這里就不詳細(xì)說(shuō)了,這里要提的是電路的時(shí)間常數(shù)R*C,構(gòu)成積分電路的條件是電路的時(shí)間常數(shù)必須要大于或等于10倍于輸入波形的寬度。 輸出信號(hào)與輸入信號(hào)的積分成正比的電路,稱為積分電路。從圖得,Uo=Uc=(1/C)icdt,因Ui=UR+Uo,當(dāng)t=to時(shí),Uc=Oo.隨后C充電,由于RCTk,充電很慢,所以認(rèn)為Ui=UR=Ric,即ic=Ui/R,故Uo=(1/c)icdt=(1/RC)icdt這就是輸出Uo正比于輸入U(xiǎn)i的積分(icdt)RC電路的積分條件:RCTk五、主要元件的參數(shù)主要元件參數(shù)規(guī)格發(fā)光二極管5mm集成電路IC555電阻1. 三個(gè)10K2. 一個(gè)1K 3. 一個(gè)62K4. 一個(gè)510歐 滑動(dòng)電阻一個(gè)20K電解電容1. 一個(gè)100微法2. 一個(gè)10 微法電容1. 一個(gè)10微法2. 一個(gè)0.47微法3. 兩個(gè)0.01微法4. 兩個(gè)0.1微

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論