




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、簡易智能電動(dòng)車(E題)摘要:本設(shè)計(jì)對(duì)象為一個(gè)簡易小車的智能控制系統(tǒng)。該系統(tǒng)以FPGA技術(shù)作為核心控制技術(shù),以行為控制作為核心控制策略??刂茮Q策所需的信息主要來源于光電傳感器、金屬探測(cè)傳感器以及超聲傳感器等傳感器。通過光電傳感器獲取小車的車速、行駛距離、引導(dǎo)線以及引導(dǎo)性光源等信息;金屬探測(cè)傳感器主要獲取軌道上的金屬標(biāo)志信息;超聲傳感器主要用來探測(cè)障礙物的位置。小車根據(jù)以上諸信息“了解”它所處的位置,并根據(jù)運(yùn)動(dòng)策略做出運(yùn)動(dòng)決策。實(shí)驗(yàn)表明,本文所提供的設(shè)計(jì)方案正確可行,能夠達(dá)到設(shè)計(jì)要求。本設(shè)計(jì)的主要特色:(1) 控制電路電源和電動(dòng)機(jī)電路電源隔離,信號(hào)通過光電耦合器耦合;(2) 采用以FPGA控制脈寬
2、調(diào)制(PWM)信號(hào)的方式實(shí)現(xiàn)了八個(gè)檔位的車速調(diào)節(jié);(3) 基于行為的智能控制策略;關(guān)鍵詞:智能 電動(dòng)車 FPGA Abstract:This paper describes an approach of designing an intelligent control system for a facility car. In this control system, FPGA chips act as a core hardware surpport, and FPGA technology as a core technology. Based on the signals (inform
3、ation) picked up by sensors such as photoelectrical sensors, metal sensors, and ultrasonic wave sensors, the information about circumstance are “known of” by car. On knowing of these pieces of information, the car can infer about actions it will take. This control system is characteristic of its:(1)
4、 dual powers supply, which separate motor control circuits system from that of others;(2) control system based on FPGA technology;(3) and intelligent control algorithms based on bahavior.Key words:FPGA/ Facility car /Intelligent control system目 錄1. 簡易智能電動(dòng)車設(shè)計(jì)方案的比較、論證與選取 31.1 控制方案與控制策略的選取 31.2 信號(hào)檢測(cè)與處理
5、方案的選取 41.2.1 小車移動(dòng)距離(速度)檢測(cè) 41.2.2 小車移動(dòng)引導(dǎo)線信號(hào)的檢測(cè) 41.2.3 小車金屬路標(biāo)信號(hào)的檢測(cè) 41.2.4 路障信號(hào)的檢測(cè) 51.2.5 引導(dǎo)性光源信號(hào)的檢測(cè) 51.3 執(zhí)行部件和顯示模塊的方案及選取 51.4 小車控制、驅(qū)動(dòng)系統(tǒng)電源的方案及選取 61.5 簡易智能小車測(cè)控系統(tǒng)的總體方案 62簡易智能小車測(cè)控系統(tǒng)的設(shè)計(jì) 72.1小車的電動(dòng)機(jī)驅(qū)動(dòng)控制模塊設(shè)計(jì) 72.2 信號(hào)檢測(cè)與處理模塊的設(shè)計(jì) 82.2.1小車移動(dòng)距離(速度)信號(hào)的檢測(cè)與處理模塊的設(shè)計(jì) 82.2.2 小車移動(dòng)引導(dǎo)線信號(hào)的檢測(cè)與處理模塊的設(shè)計(jì) 8 2.2.3金屬探測(cè)傳感系統(tǒng)的設(shè)計(jì) 92.2.4障
6、礙物信號(hào)的檢測(cè)和處理系統(tǒng)設(shè)計(jì) 92.2.5小車運(yùn)動(dòng)方向引導(dǎo)光源信號(hào)的檢測(cè)系統(tǒng)設(shè)計(jì) 102.3 簡易智能小車運(yùn)動(dòng)控制策略設(shè)計(jì) 113實(shí)際測(cè)試 1231 測(cè)試設(shè)備1332 測(cè)試過程1333 測(cè)試結(jié)果1334 測(cè)試結(jié)論144結(jié)論 14參考文獻(xiàn)141.簡易智能電動(dòng)車設(shè)計(jì)方案的比較、論證與選取簡易智能電動(dòng)車控制系統(tǒng)主要包括三個(gè)模塊:小車的行動(dòng)決策控制模塊、信號(hào)的檢測(cè)與處理模塊以及小車的執(zhí)行/顯示模塊。信號(hào)的檢測(cè)與處理模塊主要通過各種傳感器從外部環(huán)境拾取標(biāo)志信號(hào);小車的行動(dòng)決策控制模塊信號(hào)對(duì)傳感信號(hào)做進(jìn)一步的處理,它是信號(hào)的檢測(cè)與處理模塊與小車執(zhí)行/顯示模塊的接口;經(jīng)過行動(dòng)決策控制模塊后的信號(hào)從信號(hào)的形式
7、上是符合執(zhí)行/顯示模塊要求的信號(hào),從信息的角度提供了足夠控制信息給執(zhí)行/顯示模塊。下面就系統(tǒng)各部分的實(shí)現(xiàn)方案分別進(jìn)行評(píng)述、比較,并對(duì)擬選取的方案做出初步論證。1.1 控制方案與控制策略的選取引導(dǎo)線、金屬路標(biāo)、障礙物、引導(dǎo)性光源方案一:基于單片機(jī)技術(shù)的小車控制方案 單片機(jī)是通過對(duì)程序語句的順序執(zhí)行來建立與外部設(shè)備的通信和完成其內(nèi)部運(yùn)算處理,從而實(shí)現(xiàn)對(duì)信號(hào)的采集、處理和輸出控制。它最主要的特點(diǎn)是其串行處理特性,在同一時(shí)刻CPU資源只能為一個(gè)線程占用。其原理圖如圖1.1所示:小車車輪轉(zhuǎn)數(shù)檢測(cè)LED顯示/發(fā)聲單片機(jī)電動(dòng)機(jī)驅(qū)動(dòng)圖1.1 基于單片機(jī)技術(shù)的小車控制原理圖方案二:基于FPGA(可編程門陣列)技
8、術(shù)的小車控制方案 相對(duì)于單片機(jī)的串形處理方式,F(xiàn)PGA(可編程門陣列)最顯著的特點(diǎn)是它的并行處理能力,它支持對(duì)通信、信號(hào)的采集、處理和輸出控制等的并行處理。其原理圖如圖1.2所示:FPGA控制信號(hào)的檢測(cè)與處理模塊驅(qū)動(dòng)模塊路標(biāo)檢測(cè)金屬檢測(cè)障礙物檢測(cè)光源檢測(cè)轉(zhuǎn)數(shù)檢測(cè)顯示/發(fā)聲模塊提示燈時(shí)間顯示路程顯示蜂鳴器前后行駛左右轉(zhuǎn)向停車圖1.2 基于FPGA(可編程門陣列)技術(shù)的小車控制原理圖上述兩種控制方案除了在處理方式和處理能力(速度)上的差異,二者在實(shí)現(xiàn)的效果以及復(fù)雜程度等方面也有顯著的區(qū)別。方案一單片機(jī)技術(shù)比較成熟,開發(fā)過程中可以利用的資源和工具豐富,但是它的外圍電路較多,控制系統(tǒng)電路的集成和調(diào)試均
9、相對(duì)復(fù)雜,同時(shí)系統(tǒng)的抗干擾能力相對(duì)稍差。方案二將器件功能在一塊芯片上,外圍電路較少,集成度高。整個(gè)控制電路簡單,控制板的體積大大減小的同時(shí)也提高了系統(tǒng)穩(wěn)定性,此外FPGA也有方便的開發(fā)環(huán)境和豐富的開發(fā)工具等可資利用,易于調(diào)試和進(jìn)行功能擴(kuò)展。鑒于智能電動(dòng)小車需要同時(shí)對(duì)多路信號(hào)進(jìn)行檢測(cè)、處理,且對(duì)這些信號(hào)處理的實(shí)時(shí)性要求比較高,運(yùn)算處理速度是控制方案選擇時(shí)所必須優(yōu)先考慮的問題。再綜合FPGA在穩(wěn)定性以及硬件復(fù)雜程度等方面的優(yōu)越性,本設(shè)計(jì)的控制方案模塊擬選用上述基于FPGA(可編程門陣列)技術(shù)的方案二。本文將采用基于行為的控制策略(具體見后續(xù)相關(guān)章節(jié))。1.2 信號(hào)檢測(cè)與處理方案的選取本簡易智能電動(dòng)
10、車所包含的傳感器有光電傳感器、金屬探測(cè)傳感器以及超聲傳感器等,分別用來拾取與引導(dǎo)線、小車車輪轉(zhuǎn)數(shù)(距離和速度)、引導(dǎo)性光源、金屬路標(biāo)、以及障礙物等有關(guān)的信號(hào),現(xiàn)就各傳感器選取有關(guān)的問題討論如下: 1.2.1 小車移動(dòng)距離(速度)檢測(cè)方案一:采用霍爾集成片的方案 該方案利用霍爾效應(yīng)實(shí)現(xiàn)對(duì)小車車輪轉(zhuǎn)數(shù)(距離和速度)的檢測(cè)。在車輪上安裝磁片,而將霍爾集成片安裝在固定軸上,當(dāng)磁鐵正對(duì)金屬板時(shí),金屬板發(fā)生橫向?qū)?,產(chǎn)生一個(gè)脈沖,這樣通過對(duì)脈沖的計(jì)數(shù)就可以反求小車行駛的距離和速度。方案二:采用對(duì)射式光電傳感器的方案 采用的基于斷繼式光電開關(guān)原理的對(duì)射式光電傳感器也是通過對(duì)脈沖計(jì)數(shù),實(shí)現(xiàn)對(duì)距離和速度的測(cè)量的
11、。對(duì)射式光電傳感器是溝槽式結(jié)構(gòu),可以將其固定于輪軸附近,再在輪軸上固定一片開有若干條漏光條的擋板。安裝時(shí)把擋板的邊緣嵌入到溝槽中,這樣輪軸轉(zhuǎn)一圈,就可以產(chǎn)生數(shù)目與擋板上的漏光條數(shù)相等的脈沖。以上兩種方案從理論上說都可以得到小車行駛的距離和速度,但考慮到電動(dòng)小車的車輪較小,采用方案一時(shí)磁片安裝困難,也容易產(chǎn)生相互干擾;而采用方案二就可以很好地克服這些困難,實(shí)現(xiàn)較精確測(cè)量。故采用上述方案二。小車移動(dòng)引導(dǎo)線信號(hào)的檢測(cè)環(huán)境所提供的引導(dǎo)線是白色背景下一條寬度為2厘米的黑線,為了確保小車沿著該引導(dǎo)線行駛,小車必須“識(shí)別”黑色引導(dǎo)線和白色背景,可以在小車底部左、右部分分別安裝一對(duì)反射式光電二極管以提供反映小
12、車與引導(dǎo)線位置關(guān)系的信號(hào)。該傳感器是利用PN結(jié)單向?qū)щ娦缘慕Y(jié)型光電器件,具有響應(yīng)速度快、精巧、堅(jiān)固、溫度穩(wěn)定性好和工作電壓低(1020V)的優(yōu)點(diǎn),因而不失為一種比較理想的方案。此外,發(fā)光二極管安裝的位置也至關(guān)重要,茲提出兩種方案以供選?。簩蓚€(gè)傳感器分別置于黑線內(nèi)側(cè)或者放置于黑線外側(cè)。考慮到引導(dǎo)線只有2cm寬,若采用置于黑線內(nèi)側(cè)方式由于探測(cè)的范圍太小,小車極易沖出軌道而失去判斷力;置于黑線外側(cè)的方式較為可行,對(duì)小車的控制也比較準(zhǔn)確。是故,選用后者。1.2.3 小車金屬路標(biāo)信號(hào)的檢測(cè)要檢測(cè)到跑道下面的金屬,并沿著金屬路標(biāo)到達(dá)C點(diǎn),小車必須要能“識(shí)別”金屬。堇提供兩種方案參考:其一是采用自制金屬檢
13、測(cè)器的方案。原理圖如圖1.3所示,基于電渦流式傳感器的原理,受到交變磁場作用的導(dǎo)體會(huì)產(chǎn)生電渦流,從而改變線圈原來阻抗。其二:采用LJ12A3-4-Z/BX型金屬檢測(cè)器的方案。經(jīng)過實(shí)驗(yàn)比較,LJ12A3-4-Z/BX型金屬檢測(cè)器在性能和指標(biāo)方面都優(yōu)于自制的金屬探測(cè)器。分析如下:自制的金屬探測(cè)器是用多匝金屬絲繞制而成,制作過程繁瑣,而且精度不高。且線圈振蕩產(chǎn)生的正弦波形要通過整形,才能成高低電平。相反的LJ12A3-4-Z/BX型金屬檢測(cè)器彌補(bǔ)了以上的不足,精確度高也比較穩(wěn)定。所以,采用LJ12A3-4-Z/BX型金屬檢測(cè)器完成金屬的探測(cè)的功能。圖1.3 自制金屬傳感器原理圖1.2.4 路障信號(hào)的
14、檢測(cè)超聲波傳感器相比于其它類型的傳感器(如激光測(cè)距傳感器)是小車實(shí)現(xiàn)障礙物檢測(cè)部分最現(xiàn)實(shí)可行的裝置。超聲波具有頻率高、波長短、繞射現(xiàn)象小,特別是方向性好、能夠?qū)崿F(xiàn)定向傳播等特點(diǎn),特別適合應(yīng)用于實(shí)現(xiàn)各種遙控和測(cè)量,如測(cè)速、測(cè)距、入侵報(bào)警、汽車倒車?yán)走_(dá)等。完全滿足小車探測(cè)障礙物的需要。1.2.5引導(dǎo)性光源信號(hào)的檢測(cè)引導(dǎo)性光源信號(hào)由置于小車運(yùn)動(dòng)前方的200瓦的白熾燈提供,作為電動(dòng)車移動(dòng)的引導(dǎo)光源,小車必須能夠通過光電傳感器識(shí)別光源的方位,同時(shí)意味著光源信號(hào)的傳感系統(tǒng)必須能夠排除背景光(大多數(shù)情況為自然光)的干擾。針對(duì)這一具體情況,沒有通用的集成式光電傳感器可用,本小車系統(tǒng)將用自制的傳感系統(tǒng)替代。其理
15、論分析和設(shè)計(jì)過程見后續(xù)有關(guān)章節(jié)。1.3 執(zhí)行部件和顯示模塊的方案及選取執(zhí)行部件主要指小車驅(qū)動(dòng)部分。它由前、后輪的各一個(gè)驅(qū)動(dòng)電機(jī)組成,小車通過前輪電機(jī)控制運(yùn)動(dòng)方向,后輪電機(jī)則用來提供小車的運(yùn)動(dòng)動(dòng)力。前、后電機(jī)的驅(qū)動(dòng)控制信號(hào)均由FPGA芯片以PWM(脈寬調(diào)制)的方式所控制產(chǎn)生,再通過調(diào)節(jié)PWM參數(shù)來控制驅(qū)動(dòng)電機(jī)從而精確地控制小車的前進(jìn)、后退、左轉(zhuǎn)和右轉(zhuǎn),以及小車的運(yùn)動(dòng)速度。顯示/發(fā)聲模塊用以顯示小車行駛距離、時(shí)間等相關(guān)信息以及在特定的條件下發(fā)出相應(yīng)的聲光信息,可分別用譯碼驅(qū)動(dòng)的LED數(shù)碼管、發(fā)光二極管和蜂鳴器來實(shí)現(xiàn)。1.4 小車控制、驅(qū)動(dòng)系統(tǒng)電源的方案及選取方案一:采用單一電源供電 這樣供電比較簡
16、單;但是由于電動(dòng)機(jī)啟動(dòng)瞬時(shí)電流很大,而且PWM驅(qū)動(dòng)的電動(dòng)機(jī)電流波動(dòng)較大,會(huì)造成電壓不穩(wěn),嚴(yán)重時(shí)可能會(huì)對(duì)FPGA小板造成損壞。方案二:采用雙電源供電 將電動(dòng)機(jī)驅(qū)動(dòng)電源與FPGA小板及外圍電路電源完全隔離,利用光電耦合器傳輸信號(hào)。如下圖1.4所示。這樣做雖然不如單電源方便靈活,單可以將電動(dòng)機(jī)驅(qū)動(dòng)所造成的干擾徹底清除,提高了系統(tǒng)的穩(wěn)定性??紤]到本設(shè)計(jì)的穩(wěn)定性更為重要,故采用方案二。電源1電源2光耦電機(jī)驅(qū)動(dòng)電路FPGA及外圍電路圖1.4 雙電源供電方式原理框圖1.5 簡易智能小車測(cè)控系統(tǒng)的總體方案以上對(duì)簡易智能小車測(cè)量、控制系統(tǒng)的各個(gè)模塊進(jìn)行了方案層面上的比較與論證,現(xiàn)將系統(tǒng)總體方案表示如圖1.5。整
17、形電路FPGA方波信號(hào)LED數(shù)碼管時(shí)間、距離顯示發(fā)光二極管、蜂鳴器光聲信號(hào)PWM電動(dòng)機(jī)驅(qū)動(dòng)轉(zhuǎn)數(shù)檢測(cè)整形電路速度、方向控制車輪轉(zhuǎn)動(dòng)檢測(cè)信號(hào)方波信號(hào)檢測(cè)信號(hào)路標(biāo)檢測(cè)金屬檢測(cè)障礙物檢測(cè)光源檢測(cè)圖1.5 簡易智能小車測(cè)量控制系統(tǒng)概念框圖對(duì)圖1.5所示的系統(tǒng)所包含的主要模塊概述如下:系統(tǒng)核心控制模塊:包括FPGA芯片及由其硬件邏輯功能所承載的控制策略;信號(hào)檢測(cè)和處理模塊:包括兩對(duì)反射式光電傳感器、一對(duì)對(duì)射式光電傳感器、白熾燈光源光電傳感器、金屬傳感器和超聲波傳感器及相關(guān)處理系統(tǒng);驅(qū)動(dòng)模塊:由FPGA控制的以PWM(脈寬調(diào)制)的方式控制電動(dòng)機(jī)的驅(qū)動(dòng)信號(hào)的電動(dòng)機(jī)驅(qū)動(dòng)電路;顯示/發(fā)聲部分:包括LED數(shù)碼管、譯
18、碼驅(qū)動(dòng)電路、發(fā)光二極管及蜂鳴器;電源部分:包括電動(dòng)機(jī)驅(qū)動(dòng)電源和FPGA小板及外圍電路電源。2簡易智能小車測(cè)控系統(tǒng)的設(shè)計(jì)經(jīng)過方案層面的研究,我們已然得到了如圖1.5所示的簡易智能小車測(cè)量控制系統(tǒng)概念框圖。這一部分我們將分別對(duì)上述各個(gè)模塊進(jìn)行理論分析和設(shè)計(jì)。2.1小車的電動(dòng)機(jī)驅(qū)動(dòng)控制模塊設(shè)計(jì)電動(dòng)機(jī)驅(qū)動(dòng)控制模塊是一個(gè)執(zhí)行模塊。電動(dòng)機(jī)接受由FPGA控制端發(fā)出的控制信號(hào)(該控制信號(hào)根據(jù)控制策略產(chǎn)生,控制策略見后續(xù)章節(jié))的控制,從而確定電機(jī)的轉(zhuǎn)動(dòng)方向和轉(zhuǎn)動(dòng)速度。由前、后兩電機(jī)的轉(zhuǎn)動(dòng)方向和轉(zhuǎn)動(dòng)速度分別決定小車的運(yùn)動(dòng)方向和運(yùn)動(dòng)速度。實(shí)現(xiàn)上述電動(dòng)機(jī)驅(qū)動(dòng)控制的電路如圖2.1所示。 圖2.1 電動(dòng)機(jī)驅(qū)動(dòng)電路圖中的1
19、2個(gè)三極管是這個(gè)電路的關(guān)鍵,這12個(gè)三極管的導(dǎo)通與否關(guān)系到電機(jī)的停機(jī)和正反轉(zhuǎn)。由于這個(gè)電路是由FPGA控制,所以與FPGA的數(shù)據(jù)輸出端口相接時(shí),必須用四個(gè)光耦集成塊TIL117隔開,將控制部分與電機(jī)的驅(qū)動(dòng)部分隔離開來,這樣增加了各系統(tǒng)模塊之間的隔離度。若5、4端口輸出為高電平,對(duì)應(yīng)的光耦1和光耦2導(dǎo)通,三極管Q1、Q4、Q6和三極管Q7、Q10、Q12導(dǎo)通,其余6個(gè)三極管截止,使電動(dòng)機(jī)正向偏置;若3、2端口輸出為高電平,對(duì)應(yīng)的光耦3和光耦4導(dǎo)通,三極管Q2、Q3、Q5 和三極管Q8、Q9、Q11導(dǎo)通,其余6個(gè)三極管截止,電動(dòng)機(jī)反向偏置。本設(shè)計(jì)中端口5控制小車左轉(zhuǎn),端口3控制右轉(zhuǎn),端口4控制前行
20、,端口2控制后退。我們通過調(diào)節(jié)一個(gè)10Hz的周期信號(hào)占空比系數(shù),來對(duì)車速進(jìn)行控制。該控制信號(hào)的占空比系數(shù)決定了電機(jī)的通、斷電時(shí)間,實(shí)際上也就實(shí)現(xiàn)了速度上的調(diào)節(jié)。速度共分為八檔,可以滿足車速調(diào)節(jié)的精度要求。綜上,用FPGA控制以PWM(脈寬調(diào)制)的形式產(chǎn)生的控制信號(hào)驅(qū)動(dòng)小車電動(dòng)機(jī)不僅能精確地控制小車的運(yùn)動(dòng)方向如前進(jìn)、后退、左轉(zhuǎn)和右轉(zhuǎn),也可以通過控制電動(dòng)機(jī)的輸出功率和轉(zhuǎn)速來限定小車行駛速度。2.2 信號(hào)檢測(cè)與處理模塊的設(shè)計(jì)信號(hào)檢測(cè)和處理模塊包括兩對(duì)反射式光電傳感器、一對(duì)對(duì)射式光電傳感器、白熾燈光源光電傳感器、金屬傳感器和超聲波傳感器及相關(guān)處理系統(tǒng),它們分別完成相關(guān)信息的拾取和處理。現(xiàn)將它們的設(shè)計(jì)過
21、程分別敘述如下。2.2.1小車移動(dòng)距離(速度)信號(hào)的檢測(cè)與處理模塊的設(shè)計(jì)為了對(duì)小車的總行程(或速度)進(jìn)行測(cè)量,把有溝槽的斷繼式光電傳感器固定于輪軸附近,再在輪軸上固定一片開有四條漏光條的擋板。安裝時(shí)把擋板的邊緣嵌入到溝槽中,輪軸轉(zhuǎn)一圈,漏光條依次通過溝槽,光電開關(guān)得到通斷相同的高低電平信號(hào)。得到的信號(hào)經(jīng)過整形,發(fā)送到FPGA,以實(shí)現(xiàn)對(duì)距離、速度的測(cè)量,具體電路如圖2.2所示。需要說明的是,考慮到后輪剎車時(shí)的打滑、和車輪反轉(zhuǎn)的情況,我們將該檢測(cè)裝置安裝在前輪上,以提高測(cè)量的準(zhǔn)確度。經(jīng)測(cè)量得小車車輪外圍得周長為16cm,車輪轉(zhuǎn)動(dòng)一周,發(fā)出四個(gè)脈沖。所以有 16cm÷44cm 即小車測(cè)量的
22、最小精確為4cm小車行駛距離的測(cè)量:距離(cm) ,其中N為測(cè)得車輪的轉(zhuǎn)數(shù)小車行駛速度的測(cè)量:速度, S為小車行駛距離,T為小車行駛的時(shí)間圖2.2 轉(zhuǎn)數(shù)檢測(cè)原理圖2.2.2 小車移動(dòng)引導(dǎo)線信號(hào)的檢測(cè)與處理模塊的設(shè)計(jì) 小車沿著引導(dǎo)線行駛的意思是引導(dǎo)線始終與小車的中心線一致。要確定小車的這一狀態(tài),決定小車行駛方向的兩個(gè)前輪一定位于引導(dǎo)線的兩側(cè)。要向控制器提供判斷小車位置狀態(tài)的這些信息,需要兩個(gè)傳感器,檢測(cè)理想的初始狀態(tài)(兩個(gè)前輪位于引導(dǎo)線的兩側(cè))是否遭到改變。要做到這一點(diǎn),傳感器必須具備對(duì)黑色的引導(dǎo)線和白色背景進(jìn)行區(qū)分的能力。反射式光電傳感器包括發(fā)射和接收部分,其中發(fā)射部分為發(fā)光二極管,接收部分為
23、光敏二極管。光電照射到路面并反射,由于黑線和白色背景的反射系數(shù)不同,可根據(jù)接收到的反射光強(qiáng)弱(由光電傳感器轉(zhuǎn)換為電信號(hào)的強(qiáng)弱)判斷小車的左右傳感器是否壓住黑色引導(dǎo)線(或者說小車是否偏離由引導(dǎo)線給定的軌道)。根據(jù)該兩傳感器的狀態(tài)可以判斷小車和引導(dǎo)線的位置關(guān)系,如表2.1所示。 表2.1:小車和引導(dǎo)線的位置關(guān)系確定表傳感器(左)傳感器(右)小車位置0(未壓引導(dǎo)線)0(未壓引導(dǎo)線)理想的初始狀態(tài)0(未壓引導(dǎo)線)1(壓引導(dǎo)線)向左偏1(壓引導(dǎo)線)0(未壓引導(dǎo)線)向右偏1(壓引導(dǎo)線)1(壓引導(dǎo)線)橫壓引導(dǎo)線實(shí)現(xiàn)上述邏輯功能的電路原理圖如圖2.3所示。光電檢測(cè)器檢測(cè)到黑線時(shí),發(fā)射光比較微弱,而不足以使光敏
24、二極管導(dǎo)通,Q1或Q2截止,則輸出的高電平信號(hào)通過施密特觸發(fā)器整形后,就送入FPGA的數(shù)據(jù)輸入端口1或2進(jìn)行分析;反之,光電檢測(cè)器檢測(cè)到的是白色背景時(shí),發(fā)射光較強(qiáng),光敏二極管導(dǎo)通,Q1或Q2導(dǎo)通,則輸出的低電平信號(hào)通過施密特觸發(fā)器整形后,也送入FPGA的數(shù)據(jù)輸入端口1或2進(jìn)行分析。2.3 小車移動(dòng)引導(dǎo)線檢測(cè)原理圖2.2.3金屬探測(cè)傳感系統(tǒng)的設(shè)計(jì)本設(shè)計(jì)中使用的金屬探測(cè)傳感器是基于接近式開關(guān)傳感器工作原理。外界的金屬性物體對(duì)傳感器的高頻振蕩器(即是由纏繞在鐵氧體磁芯上的線圈構(gòu)成的LC振蕩器)產(chǎn)生非接觸式感應(yīng)作用。當(dāng)外界的金屬性導(dǎo)電物體接近這一磁場,并到達(dá)感應(yīng)區(qū)時(shí),在金屬物體內(nèi)產(chǎn)生渦流效應(yīng),從而導(dǎo)致
25、LC振蕩電路振蕩減弱,振幅變小,即稱之為阻尼現(xiàn)象。這一振蕩的變化,經(jīng)過施密特觸發(fā)器整形成高低電平以后,即被開關(guān)的后置電路放大處理并轉(zhuǎn)換為一確定的輸出信號(hào),觸發(fā)開關(guān)并驅(qū)動(dòng)FPGA,從而達(dá)到非接觸式目標(biāo)檢測(cè)之目的。圖2.4 在阻尼和非阻尼狀態(tài)時(shí)的磁場圖2.5 接近式開關(guān)金屬傳感器的工作原理圖2.2.4障礙物信號(hào)的檢測(cè)和處理系統(tǒng)設(shè)計(jì) 超聲傳感器包括超聲發(fā)射器、超聲接受器和控制電路三個(gè)主要部分。它的工作原理大致是這樣的:首先FPGA發(fā)射出的超聲波發(fā)射信號(hào)經(jīng)過7414整形到超聲波發(fā)射器。超聲發(fā)射器則向障礙物方向發(fā)射出一連串脈沖式的超聲波 ,后即自行關(guān)閉,停止發(fā)射。超聲波遇到物體后,就被反射回來,此時(shí)超聲
26、接受器開始檢測(cè)回聲信號(hào)。當(dāng)?shù)鹊匠暯邮芷魇盏交芈曅盘?hào)后,就送一個(gè)標(biāo)致信號(hào)到FPGA控制中心.超聲傳感器整個(gè)工作過程都是在控制電路控制下順序進(jìn)行的。圖2.6 障礙物信號(hào)檢測(cè)原理圖2.2.5小車運(yùn)動(dòng)方向引導(dǎo)光源信號(hào)的檢測(cè)系統(tǒng)設(shè)計(jì)該自制小車運(yùn)動(dòng)方向引導(dǎo)光源信號(hào)的檢測(cè)系統(tǒng)示意圖如圖2.7所示。在小車的前端裝上三個(gè)光電傳感器。它們分別對(duì)光源進(jìn)行信號(hào)采集,并通過采集到的數(shù)據(jù)發(fā)出控制信號(hào),實(shí)現(xiàn)對(duì)小車的運(yùn)動(dòng)狀態(tài)的控制。根據(jù)三個(gè)傳感器檢測(cè)到的數(shù)據(jù),可以判斷小車的運(yùn)動(dòng)趨勢(shì)。具體描述見表2.2。表2.2 引導(dǎo)光源檢測(cè)信號(hào)與小車運(yùn)動(dòng)關(guān)系表ABC小車狀態(tài)010小車正對(duì)光源001小車向右偏離入庫方向(大幅度)100小車向
27、左偏離入庫方向(大幅度)111背景光嚴(yán)重干擾了燈光源011小車向右偏離入庫方向(小幅度)110小車向左偏離入庫方向(小幅度)000查找光源圖2.8為引導(dǎo)源的檢測(cè)原理圖,作為探頭的光電二極管。采集到光源信號(hào)的光電二極管處于導(dǎo)通狀態(tài),三極管Q1和Q2也導(dǎo)通,產(chǎn)生的一個(gè)低電平信號(hào)經(jīng)過整流管整流、濾波,再反相輸出一個(gè)高電平FPGA控制端。 圖2.7 引導(dǎo)源檢測(cè)系統(tǒng)示意圖圖2.8 引導(dǎo)源的檢測(cè)原理2.3 簡易智能小車運(yùn)動(dòng)控制策略設(shè)計(jì)系統(tǒng)用FPGA來完成整個(gè)核心控制部分,由信號(hào)的檢測(cè)及處理模塊把檢測(cè)到的各路信號(hào)分別輸入到FPGA的數(shù)據(jù)輸入接口,然后用超高速硬件描述語言VHDL編程實(shí)現(xiàn)控制部分??刂撇呗苑謩e
28、描述如下:(1) 小車移動(dòng)距離(速度)信號(hào)的檢測(cè)與處理控制策略的設(shè)計(jì)小車車輪轉(zhuǎn)動(dòng),每當(dāng)經(jīng)過一次漏光條,對(duì)射式光電傳感器就導(dǎo)通一次,導(dǎo)通4次,小車車輪即旋轉(zhuǎn)了一周。可以通過計(jì)算光電傳感器的導(dǎo)通次數(shù),來對(duì)小車移動(dòng)的距離(速度)進(jìn)行測(cè)量。描述如下表3.1。其中n為傳感器導(dǎo)通次數(shù)。表3.1 小車移動(dòng)距離(速度)信號(hào)的控制策略示意表光電傳感器導(dǎo)通次數(shù)車子行駛的距離4*n+1(16*n+4)cm4*n+2(16*n+8)cm4*n+3(16*n+12)cm4*(n+1)16*(n+1)cm(2) 小車移動(dòng)引導(dǎo)線信號(hào)的檢測(cè)與處理控制策略的設(shè)計(jì)小車要壓著引導(dǎo)線前進(jìn),就需要兩對(duì)檢測(cè)黑線的發(fā)射式光電傳感器分別卡在
29、黑線的兩邊。當(dāng)它們檢測(cè)到異樣的信號(hào)后,就發(fā)送信號(hào)給FPGA控制核心部分,從而做出相應(yīng)的操作。列表如下表3.2。其中0表示檢測(cè)到黑線,1表示檢測(cè)到白線。表3.2 引導(dǎo)線信號(hào)的檢測(cè)與處理的控制策略示意表光電傳感器左光電傳感器右小車要做的動(dòng)作00方向性錯(cuò)誤01左轉(zhuǎn)10右轉(zhuǎn)11嚴(yán)重偏離軌道(3)金屬探測(cè)傳感控制策略的設(shè)計(jì)當(dāng)金屬探測(cè)傳感器探測(cè)到金屬的時(shí)候,對(duì)FPGA控制信號(hào)端口發(fā)出信號(hào),隨即FPGA控制系統(tǒng)發(fā)出信號(hào)給外圍電路,控制發(fā)出光聲信號(hào)以提示檢測(cè)到了金屬。(4)障礙物信號(hào)的檢測(cè)和處理控制策略的設(shè)計(jì) 當(dāng)用于探測(cè)障礙物的超聲傳感器檢測(cè)到前方有障礙物的時(shí)候,它馬上返回一個(gè)回聲信號(hào)。當(dāng)傳感器的接收部分,接
30、收到了這個(gè)信號(hào)以后,就給FPGA控制系統(tǒng)發(fā)出一個(gè)標(biāo)志信號(hào)。經(jīng)過 FPGA的處理,對(duì)小車的動(dòng)作做出相應(yīng)的處理。(5)小車運(yùn)動(dòng)方向引導(dǎo)光源信號(hào)的檢測(cè)控制策略的設(shè)計(jì)小車的三個(gè)光電傳感器從三個(gè)方位對(duì)前方的電源進(jìn)行檢測(cè),追蹤。三個(gè)傳感器分別采集到的不同信號(hào),一起發(fā)送給FPGA控制系統(tǒng),經(jīng)過處理再輸出一個(gè)控制信號(hào)給外部的電動(dòng)機(jī),來控制小車的走向。具體描述如下表3.3。其中A、B、C分別表示裝在小車前部的三個(gè)光電傳感器;1表示檢測(cè)到光源的存在,0則表示沒有檢測(cè)到。表3.3 引導(dǎo)光源信號(hào)的檢測(cè)控制策略示意表ABC功能010進(jìn)庫001左轉(zhuǎn)(大幅度)100右轉(zhuǎn)(大幅度)111有問題(調(diào)整整個(gè)傳感器位置)011左轉(zhuǎn)
31、(小幅度)110右轉(zhuǎn)(小幅度)000查找光源(6)簡易智能電動(dòng)小車的總體控制策略的流程圖表示YNYY啟動(dòng)引導(dǎo)線檢測(cè)控制子程序金屬檢測(cè)控制子程序避障控制子程序光源檢測(cè)子程序到達(dá)C點(diǎn)?N是否達(dá)到90s結(jié)束是否入庫?N圖2.9 簡易智能電動(dòng)小車總體控制策略的流程圖表示3實(shí)際測(cè)試31 測(cè)試設(shè)備1最終測(cè)試用到的儀器包括模擬跑道:直道區(qū)長2.3m(下面鋪有薄鐵片任意個(gè)) 彎道區(qū)半徑0.8m 障礙區(qū)長1m (兩側(cè)任意位置分別放一大小為50cm×12cm×6cm的障礙物) 停車區(qū)長0.6m 車庫長0.4m卷尺:精度0.1cm,最大測(cè)量范圍300.0cm。秒表:精度0.01s光源:燈泡薄鐵片
32、:3片2調(diào)試過程中用到的儀器包括頻率發(fā)生器:GFG-8216A示波器:YB4365直流電壓源:DF1731SC2A數(shù)字萬用表:UT20063.2 測(cè)試過程(1) 光電檢測(cè)部分引導(dǎo)線的檢測(cè)可以通過調(diào)節(jié)電位器來調(diào)整光電傳感器的靈敏度,直至小車嚴(yán)格壓著引導(dǎo)線行進(jìn)。車速測(cè)量的檢測(cè)可以通過對(duì)車輪轉(zhuǎn)數(shù)的計(jì)數(shù),乘以車輪的周長。計(jì)算出來的路程和實(shí)際用軟尺所測(cè)的路程進(jìn)行比較。(2) 金屬檢測(cè)部分小車檢測(cè)到和顯示的的金屬片數(shù)因該與實(shí)際的金屬片數(shù)相符。(3) 超聲波檢測(cè)部分小車通過超聲波傳感器避開障礙物,越過障礙區(qū),將測(cè)的方法就是使小車行駛的過程中不會(huì)碰到障礙物。(4) 引導(dǎo)性光源檢測(cè)部分小車由題目所給的光源的引導(dǎo)
33、,順著光源順利走進(jìn)車庫。即完成了光源引導(dǎo)部分的功能測(cè)試。3.3 測(cè)試結(jié)果具體測(cè)試結(jié)果分別如下列表所示:表3.1.1(起始點(diǎn)到C點(diǎn)的直道區(qū)的測(cè)試結(jié)果)測(cè)試次數(shù)秒表所測(cè)時(shí)間顯示器顯示距離距離誤差計(jì)算實(shí)際薄鐵片數(shù)目顯示記錄鐵片數(shù)目計(jì)數(shù)誤差計(jì)算1232.電動(dòng)車?yán)@過障礙物進(jìn)入車庫的測(cè)試結(jié)果測(cè)試電動(dòng)車是否能安全使過障礙區(qū),并準(zhǔn)確進(jìn)入車庫。測(cè)試步驟:電動(dòng)車到達(dá)C點(diǎn)停留5S之后開始測(cè)試,測(cè)試結(jié)果如表4.1.2所示。表4.1.2(從C點(diǎn)到達(dá)車庫的測(cè)試結(jié)果)測(cè)試次數(shù)接觸障礙物的次數(shù)能否準(zhǔn)確進(jìn)入停車區(qū)能否到達(dá)車庫1231.基本要求測(cè)試測(cè)試步驟:開啟電源開關(guān),將電動(dòng)車放于起跑線,開動(dòng);到達(dá)C點(diǎn),小車檢測(cè)到薄鐵片,停車
34、;秒表開始計(jì)時(shí),小車再次行駛時(shí),停止計(jì)時(shí)。測(cè)試次數(shù)記錄薄鐵片數(shù)目實(shí)際鐵片數(shù)目C點(diǎn)停留時(shí)間是否與障礙物接觸能否檢測(cè)到鐵片并發(fā)出聲光信號(hào)能否進(jìn)入停車區(qū)并到達(dá)車庫發(fā)揮部分能否完全進(jìn)入車庫1232.發(fā)揮部分測(cè)試:測(cè)量每個(gè)薄鐵片至起跑線間的距離,如表4.2.2所示測(cè)試次數(shù)第一塊鐵片第二塊鐵片第三塊鐵片第四塊鐵片實(shí)際距離測(cè)試距離誤差計(jì)算3.4 測(cè)試結(jié)論 經(jīng)過對(duì)系統(tǒng)的各部分參數(shù)的測(cè)試,本設(shè)計(jì)的基本部分和發(fā)揮部分實(shí)現(xiàn)的功能都達(dá)到了設(shè)計(jì)要求。4結(jié)論本系統(tǒng)以FPGA技術(shù)作為核心控制技術(shù),以行為控制作為核心控制策略。采用光電傳感器、金屬探測(cè)傳感器以及超聲傳感器等傳感器并配合超高速硬件描述語言VHDL編程實(shí)現(xiàn)了小車跟
35、蹤引導(dǎo)線行駛、探測(cè)金屬、躲避障礙物、自動(dòng)尋找光源、時(shí)間和路程的顯示以及蜂鳴器報(bào)警的功能。通過光電傳感器獲取小車的車速、行駛距離、引導(dǎo)線以及引導(dǎo)性光源等信息;金屬探測(cè)傳感器主要獲取軌道上的金屬標(biāo)志信息;超聲傳感器主要用來探測(cè)障礙物的位置。小車根據(jù)以上諸信息“了解”它所處的位置,并根據(jù)運(yùn)動(dòng)策略做出運(yùn)動(dòng)決策。最終使小車完成題目中的各項(xiàng)任務(wù)。并且本設(shè)計(jì)還有許多由特色之處,比如控制電路電源和電動(dòng)機(jī)電路電源隔離,信號(hào)通過光電耦合器耦合;采用以FPGA控制脈寬調(diào)制(PWM)信號(hào)的方式實(shí)現(xiàn)了八個(gè)檔位的車速調(diào)節(jié);基于行為的智能控制策略等等。很好的完成了題目設(shè)計(jì)的要求。參考文獻(xiàn)【1】 趙負(fù)圖.現(xiàn)代傳感器集成電路M
36、.北京:人民郵電出版社,2000年(第一版)?!?】 潘松、黃繼業(yè).EDA技術(shù)實(shí)用教程M.北京:科學(xué)出版社,2002年(第一版)?!?】 高書莉、羅朝霞. 可編程邏輯設(shè)計(jì)技術(shù)及應(yīng)用M.北京:人民郵電出版社,2001年(第一版)。【4】 謝自美、閻樹蘭、趙云娣等.電子線路設(shè)計(jì)·實(shí)驗(yàn)·測(cè)試(第二版)M.湖北:華中理工大學(xué)出版社.2000年.【5】 梅遂生、楊家德.光電子技術(shù)信息裝備的新秀M.北京:國防工業(yè)出版社.1999年. 附錄一(程序清單):library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.A
37、LL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity quancheng is Port (fuwei,clk : in std_logic; kaishi : in std_logic; Lucheng : in std_logic; xuanzxshi : in std_logic; dangwei1 : in std_logic; dangwei2 : in std_logic; dangwei3 : in std_logic; guangdian : in std_logic_vector(1 downto 0); Control : out std_lo
38、gic_vector(3 downto 0); weixuan : out std_logic_vector(6 downto 0); Led : out std_logic_vector(6 downto 0);end quancheng;architecture Behavioral of quancheng issignal fanzhuan : std_logic;signal miao_5,miao_2 : std_logic;signal zhuanwan : std_logic;signal zuozhuan,youzhuan : std_logic;signal lucheng
39、jishu : std_logic;signal wancheng : std_logic;signal tingzhi : std_logic;signal qianduan : std_logic;signal Hz_500,Hz_100,Hz_1 : std_logic;signal p1,p2,p3,p4,p5,pt : integer range 0 to 15;signal shijianxianshi : integer range 0 to 99;signal wanchengshijian : integer range 0 to 99;signal luchengxians
40、hi : integer range 0 to 32752;beginprocess(kaishi,clk)variable c : integer range 0 to 100000;begin if kaishi='0' then c:=0;elsif rising_edge(clk) then c:=c+1; if c<=50000 then Hz_500<='0' elsif c=100000 then c:=0;else Hz_500<='1'end if;end if;end process;process(Hz_5
41、00)variable c : integer range 0 to 5;begin if rising_edge(Hz_500) then c:=c+1;Hz_100<='0' if c=5 then c:=0;Hz_100<='1' end if;end if;end process;process(Hz_100)variable c : integer range 0 to 100;begin if rising_edge(Hz_100) then c:=c+1; if c<=50 then Hz_1<='0' el
42、sif c=100 then c:=0; else Hz_1<='1' end if;end if;end process;- shi jian kong zhi -process(fuwei,Hz_1,kaishi,wancheng) variable c : integer range 0 to 99;begin if fuwei='1'or kaishi='0' then c:=0;tingzhi<='0' elsif rising_edge(Hz_1) then if wancheng='0'
43、then if c<89 then c:=c+1; else c:=90;tingzhi<='1' end if;else wanchengshijian<=c;end if;end if; shijianxianshi<=c;end process;- tiao su -process(fuwei,clk,tingzhi)variable c,d : integer range 0 to 5000000;variable dangqianzhuangtai : integer range 0 to 2047;begin if fuwei='1&
44、#39;or tingzhi='1' then c:=0;d:=0;qianduan<='0'zuozhuan<='0'wancheng<='0'control(1)<='0'zhuanwan<='0'youzhuan<='0'fanzhuan<='0'elsif rising_edge(clk) then if qianduan='0' then if Luchengxianshi<150 then i
45、f dangwei1='1' then c:=4000000; elsif dangwei2='1' then c:=3800000; elsif dangwei3='1' then c:=3650000; else c:=3500000; end if; elsif Luchengxianshi<240 then c:=3800000; elsif Luchengxianshi<430 then c:=3650000; elsif Luchengxianshi<500 then c:=3700000; if Luchengxi
46、anshi>=460 then zhuanwan<='1' end if; else c:=5000000;d:=0;qianduan<='1'zhuanwan<='0'fanzhuan<='1' end if;elsif miao_2='1' then dangqianzhuangtai:=luchengxianshi;elsif miao_5='1' then fanzhuan<='0' if (luchengxianshi-dangqianz
47、huangtai)<80 then zuozhuan<='1'youzhuan<='0'c:=3500000; elsif (luchengxianshi-dangqianzhuangtai)<160 then youzhuan<='1'zuozhuan<='0'c:=3500000;elsif (luchengxianshi-dangqianzhuangtai)<300 then youzhuan<='0'zuozhuan<='0'c:=380
48、0000;else c:=5000000;d:=0;fanzhuan<='1'wancheng<='1'end if;end if;if d<5000000 then d:=d+1; if d<c then control(1)<='0' elsif d=5000000 then d:=0; else control(1)<='1' end if;end if;end if;end process;process(fanzhuan,Hz_100,fuwei)variable c : intege
49、r range 0 to 20;begin if fuwei='1'or fanzhuan='0' then c:=0;control(0)<='0' elsif rising_edge(Hz_100) then if c<20 then c:=c+1; if c<10 then control(0)<='1' else control(0)<='0' end if; else c:=10;end if;end if;end process;process(fuwei,qianduan
50、,Hz_500)variable c : integer range 0 to 2500;begin if qianduan='0'or fuwei='1' then c:=0;miao_5<='0'miao_2<='0' elsif rising_edge(Hz_500) then if c<2499 then c:=c+1;miao_5<='0' if c<1000 and c<500 then miao_2<='1' else miao_2<=&
51、#39;0' end if; else c:=2500;miao_5<='1'end if;end if;end process;- guang dian jian ce kong zhi xi tong -process(fuwei,Hz_500,guangdian) variable c : integer range 0 to 500; begin if fuwei='1' then c:=0; elsif rising_edge(Hz_500) then if c<500 then c:=c+1; elsif qianduan=
52、9;0' then c:=500; if guangdian="01"or zhuanwan='1' then control(3 downto 2)<="10" elsif guangdian="10" then control(3 downto 2)<="01" else control(3 downto 2)<="00"-wancheng<='1' end if; elsif zuozhuan='1' then
53、 control(3 downto 2)<="10" elsif youzhuan='1' then control(3 downto 2)<="01" else control(3 downto 2)<="00" end if;end if;end process;- lu cheng ji shu -process(Hz_500,Lucheng)begin if rising_edge(Hz_500) then if lucheng='0' then Luchengjishu<
54、;='0'else Luchengjishu<='1'end if;end if;end process;process(fuwei,Luchengjishu)variable c : integer range 0 to 32752;begin if fuwei='1' then c:=0; elsif rising_edge(luchengjishu) then c:=c+4;end if;luchengxianshi<=c;end process;- yi ma xian shi - process(Hz_100,luchengxianshi,clk,xuanzx
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 漢英兒童二語分級(jí)讀物對(duì)比研究
- 社區(qū)心理健康普及
- 風(fēng)濕病用藥護(hù)理
- 2025年學(xué)校安全日教育主題活動(dòng)
- 海外寵物培訓(xùn)課件
- 電商文化培訓(xùn)
- 同濟(jì)大學(xué)內(nèi)科學(xué)教學(xué)體系
- 預(yù)防接種知識(shí)培訓(xùn)課件
- 順利消防2021課件
- 項(xiàng)目總工程師培訓(xùn)課件
- 高壓氣體絕緣設(shè)備中SF6分解產(chǎn)物檢測(cè)SO2傳感器的設(shè)計(jì)與應(yīng)用
- DBJ04-T494-2025 《坡地建筑設(shè)計(jì)防火標(biāo)準(zhǔn)》
- ecmo考試試題及答案
- GB/T 21711.3-2025基礎(chǔ)機(jī)電繼電器第3部分:強(qiáng)制定位(機(jī)械聯(lián)鎖)觸點(diǎn)繼電器
- 農(nóng)發(fā)銀行筆試題庫及答案
- 棗莊滕州市屬國有企業(yè)招聘考試真題2024
- 防火防爆培訓(xùn)要點(diǎn)
- 法院輔警筆試題及答案
- 2025實(shí)驗(yàn)室管理員聘用合同書
- 民辦學(xué)校托管合同協(xié)議
- 景區(qū)安全生產(chǎn)管理規(guī)章制度大全
評(píng)論
0/150
提交評(píng)論