流水燈VHDL參考模板_第1頁
流水燈VHDL參考模板_第2頁
流水燈VHDL參考模板_第3頁
流水燈VHDL參考模板_第4頁
流水燈VHDL參考模板_第5頁
已閱讀5頁,還剩2頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、基于VHDL流水燈的設計電子信息科學與技術 劉敏 何磊 成江波一、 設計內(nèi)容流水燈是一串按一定的規(guī)律像流水一樣連續(xù)閃亮,流水燈控制是可編程控制器的一個應用,其控制思想在工業(yè)控制技術領域也同樣適用。流水燈控制可用多種方法實現(xiàn),但對現(xiàn)代可編程控制器而言,基于EDA技術的流水燈設計也是很普遍的。要求采用可編程邏輯器件實現(xiàn)一個流水燈控制電路,12個LED燈能連續(xù)發(fā)出4種不同的流水顯示形式。彩燈控制器的第1種花樣為彩燈按順時針方向逐次點亮;第2種花樣為彩燈按逆時針方向逐次點亮,然后全滅全亮;第3種花樣為彩燈兩邊同時亮1、2、3、4、5、6個逐次向中間移動再散開;第4種花樣為彩燈連續(xù)交叉閃爍。多個花樣自動

2、變換,循環(huán)往復。二、 設計方案彩燈是由FPGA板上的LED燈代替,有以下4種閃爍效果1. 彩燈按順時針方向逐次點亮。2. 彩燈按逆時針方向逐次點亮,然后全滅全亮。3. 彩燈兩邊同時亮1、2、3、4、5、6個逐次向中間移動再散開。4. 彩燈連續(xù)交叉閃爍。本控制電路采用VHDL語言設計。運用自頂而下的設計思想,按功能逐層分割實現(xiàn)層次化設計。根據(jù)多路彩燈控制器的設計原理,分別對應彩燈的四種變化模式、利用VHDL語言實現(xiàn)該功能.三、 系統(tǒng)框圖脈沖信號順序脈沖譯碼邏輯電路流水燈閃爍1 / 7四、 流水燈設計程序library IEEE;use IEEE.STD_LOGIC_1164.all;use IE

3、EE.STD_LOGIC_unsigned.all;entity led1 is port( sysclk: in std_logic; dout: out std_logic_vector(11 downto 0) );end led1;architecture jgt of led1 issignal cnt : std_logic_vector ( 7 downto 0);-variable count:integer RANGE 0 TO 7;signal count : std_logic_vector(5 DOWNTO 0); beginprocess(sysclk)begin i

4、f ( sysclk'event and sysclk = '1') thencnt <= cnt + 1;if (cnt = "11110111") thencnt <= "00000000" ;count <=count+1; end if ; end if;end process;process (count)begincase count iswhen "000000" =>dout <= "111111111110"when "000001&

5、quot; =>dout <= "111111111101"when "000010" =>dout <= "111111111011"when "000011" =>dout <= "111111110111"when "000100" =>dout <= "111111101111"when "000101" =>dout <= "111111011111&quo

6、t;when "000110" =>dout <= "111110111111"when "000111" =>dout <= "111101111111"when "001000" =>dout <= "111011111111"when "001001" =>dout <= "110111111111"when "001010" =>dout <= &q

7、uot;101111111111"when "001011" =>dout <= "011111111111"when "001100" =>dout <= "101111111111"when "001101" =>dout <= "110111111111"when "001110" =>dout <= "111011111111"when "001111"

8、; =>dout <= "111101111111"when "010000" =>dout <= "111110111111"when "010001" =>dout <= "111111011111"when "010010" =>dout <= "111111101111"when "010011" =>dout <= "111111110111"wh

9、en "010100" =>dout <= "111111111011"when "010101" =>dout <= "111111111101"when "010110" =>dout <= "111111111110"when "010111" =>dout <= "111111111111"when "011000" =>dout <= "

10、000000000000"when "011001" =>dout <= "011111111110"when "011010" =>dout <= "101111111101"when "011011" =>dout <= "110111111011"when "011100" =>dout <= "111011110111"when "011101" =&

11、gt;dout <= "111101101111"when "011110" =>dout <= "111110011111"when "011111" =>dout <= "111101101111"when "100000" =>dout <= "111011110111"when "100001" =>dout <= "110111111011"when &

12、quot;100010" =>dout <= "101111111101"when "100011" =>dout <= "011111111110"when "100100" =>dout <= "001111111100"when "100101" =>dout <= "110011110011"when "100110" =>dout <= "1111

13、00001111"when "100111" =>dout <= "110011110011"when "101000" =>dout <= "001111111100"when "101001" =>dout <= "000111111000"when "101010" =>dout <= "111000000111"when "101011" =>d

14、out <= "000111111000"when "101100" =>dout <= "000011110000"when "101101" =>dout <= "111100001111"when "101110" =>dout <= "000001100000"when "101111" =>dout <= "000000111111" when &quo

15、t;110000" =>dout <= "010101010101" when "110001" =>dout <= "101010101010" when "110010" =>dout <= "010101010101" when "110011" =>dout <= "101010101010" when "110100" =>dout <= "010

16、101010101" when "110101" =>dout <= "101010101010" when "110110" =>dout <= "010101010101" when "110111" =>dout <= "101010101010" when "111000" =>dout <= "010101010101" when "111001"

17、=>dout <= "101010101010" when "111010" =>dout <= "010101010101" when "111011" =>dout <= "101010101010" when "111100" =>dout <= "010101010101" when "111101" =>dout <= "101010101010"

18、 when "111110" =>dout <= "010101010101" when "111111" =>dout <= "101010101010" when others=>null;end case;end process;end jgt;五、 系統(tǒng)仿真與調(diào)試1.仿真波形圖通過QuartusII軟件,我們進行了仿真,其仿真波形如下圖:圖一:仿真波形圖由設計要求可知,本設計要求采用可編程邏輯器件實現(xiàn)一個流水燈控制電路,12個LED燈能連續(xù)發(fā)出4種不同的流水顯示形式。彩燈控制器

19、的第1種花樣為彩燈按順時針方向逐次點亮;第2種花樣為彩燈按逆時針方向逐次點亮,然后全滅全亮;第3種花樣為彩燈兩邊同時亮1、2、3、4、5、6個逐次向中間移動再散開;第4種花樣為彩燈連續(xù)交叉閃爍。多個花樣自動變換,循環(huán)往復。從仿真的波形可以看出,實現(xiàn)了相應的功能。2.電路原理圖在QuartusII軟件中利用硬件描述語言描述電路后,用RTL Viewers生成的對應的電路圖如下所示:圖二:用RTL Viewers生成的電路圖六、設計總結通過本次設計明白了VHDL語言的實用性,同時對QuartusII有了進一步的了解。雖然在設計過程種遇到了許多麻煩,比如語言編寫的錯誤,思路想法的偏離.但通過問同學,老師,以及上網(wǎng)了解后最終還是糾正了這些錯誤。不過通過不斷的檢查和咨詢,最終還是編寫成功了。也通過本次彩燈設計讓我知道了日常生活種各種

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論