液晶LCD1602的原理與應(yīng)用單片機(jī)機(jī)械_第1頁
液晶LCD1602的原理與應(yīng)用單片機(jī)機(jī)械_第2頁
液晶LCD1602的原理與應(yīng)用單片機(jī)機(jī)械_第3頁
液晶LCD1602的原理與應(yīng)用單片機(jī)機(jī)械_第4頁
液晶LCD1602的原理與應(yīng)用單片機(jī)機(jī)械_第5頁
已閱讀5頁,還剩38頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、4.5 液晶液晶LCD1602的原理與應(yīng)用的原理與應(yīng)用 液晶顯示模塊是一種將液晶顯示器件、連接液晶顯示模塊是一種將液晶顯示器件、連接件、集成電路、件、集成電路、PCB線路板、背光源、結(jié)構(gòu)件裝線路板、背光源、結(jié)構(gòu)件裝配在一起的組件。英文名稱叫配在一起的組件。英文名稱叫“LCD Module”,簡稱簡稱“LCM”,中文一般稱為液晶顯示器。其在,中文一般稱為液晶顯示器。其在便攜式儀表中有著廣泛的應(yīng)用,如萬用表、轉(zhuǎn)速便攜式儀表中有著廣泛的應(yīng)用,如萬用表、轉(zhuǎn)速表等。液晶顯示器也是單片機(jī)系統(tǒng)常用的顯示電表等。液晶顯示器也是單片機(jī)系統(tǒng)常用的顯示電路。路。 根據(jù)顯示方式和內(nèi)容的不同,液晶模塊可以根據(jù)顯示方式和

2、內(nèi)容的不同,液晶模塊可以分為數(shù)顯液晶模塊、液晶點(diǎn)陣字符模塊和點(diǎn)陣圖分為數(shù)顯液晶模塊、液晶點(diǎn)陣字符模塊和點(diǎn)陣圖形液晶模塊形液晶模塊3種。數(shù)顯液晶模塊是一種由段型液種。數(shù)顯液晶模塊是一種由段型液晶顯示器件與專用的集成電路組裝成一體的功能晶顯示器件與專用的集成電路組裝成一體的功能部分,只能顯示數(shù)字和一些標(biāo)識(shí)符號(hào)。液晶點(diǎn)陣部分,只能顯示數(shù)字和一些標(biāo)識(shí)符號(hào)。液晶點(diǎn)陣字符模塊是由點(diǎn)陣字符液晶顯示器件和專用的行、字符模塊是由點(diǎn)陣字符液晶顯示器件和專用的行、列驅(qū)動(dòng)器,控制器及必要的連接件、結(jié)構(gòu)件裝配列驅(qū)動(dòng)器,控制器及必要的連接件、結(jié)構(gòu)件裝配而成的,可以顯示數(shù)字和西文字符,但不能顯示而成的,可以顯示數(shù)字和西文字

3、符,但不能顯示圖形。點(diǎn)陣圖形液晶模塊的點(diǎn)陣像素連續(xù)排列,圖形。點(diǎn)陣圖形液晶模塊的點(diǎn)陣像素連續(xù)排列,行和列在排布中均沒有空隔。因此不僅可以顯示行和列在排布中均沒有空隔。因此不僅可以顯示字符,而且可以顯示連續(xù)、完整的圖形。字符,而且可以顯示連續(xù)、完整的圖形。 本案例介紹的字符顯示器型號(hào)為本案例介紹的字符顯示器型號(hào)為1602,該,該器件是單片機(jī)常用的低成本字符液晶顯示部件,器件是單片機(jī)常用的低成本字符液晶顯示部件,通過學(xué)習(xí)該器件的工作原理和相關(guān)指令,讓讀者通過學(xué)習(xí)該器件的工作原理和相關(guān)指令,讓讀者掌握掌握1602的基本工作原理和程序設(shè)計(jì)方法。的基本工作原理和程序設(shè)計(jì)方法。4.5.1 1602字符型字

4、符型LCD簡介簡介 字符型液晶顯示模塊是一種專門用于顯示字字符型液晶顯示模塊是一種專門用于顯示字母、數(shù)字、符號(hào)等點(diǎn)陣式母、數(shù)字、符號(hào)等點(diǎn)陣式LCD,目前常用,目前常用16*1,16*2,20*2和和40*2行等的模塊。行等的模塊。1602是一是一種種16*2字符型液晶顯示器。實(shí)物如圖字符型液晶顯示器。實(shí)物如圖4-5-1所所示。示。 圖圖4-5-1 16024-5-1 1602字符型液晶顯示器實(shí)物圖字符型液晶顯示器實(shí)物圖 該顯示器件采用電路模塊封裝,控制器大部該顯示器件采用電路模塊封裝,控制器大部分為分為HD44780,帶有標(biāo)準(zhǔn)的,帶有標(biāo)準(zhǔn)的SIP14引腳(無引腳(無背光)或背光)或SIP16引

5、腳(帶背光),芯片和背光引腳(帶背光),芯片和背光電路工作電壓與單片機(jī)兼容,引腳分電源、通信電路工作電壓與單片機(jī)兼容,引腳分電源、通信數(shù)據(jù)和控制三部分,可以很方便的可以與單片機(jī)數(shù)據(jù)和控制三部分,可以很方便的可以與單片機(jī)進(jìn)行連接。各引腳接口說明如表進(jìn)行連接。各引腳接口說明如表4-5-2所示。所示。表表4-5-1 1602接口引腳接口引腳編號(hào)編號(hào)符號(hào)符號(hào)引腳說明引腳說明編號(hào)編號(hào)符號(hào)符號(hào)引腳說明引腳說明1 1VSSVSS電源地電源地9 9D2D2數(shù)據(jù)數(shù)據(jù)(I/O)2 2VDDVDD電源正極電源正極1010D3D3數(shù)據(jù)數(shù)據(jù)(I/O)3 3VLVL液晶顯示偏壓信號(hào)液晶顯示偏壓信號(hào)1111D4D4數(shù)據(jù)數(shù)據(jù)

6、(I/O)4 4RSRS數(shù)據(jù)命令選擇端數(shù)據(jù)命令選擇端(H/L)1212D5D5數(shù)據(jù)數(shù)據(jù)(I/O)5 5R/WR/W讀讀/寫選擇端寫選擇端(H/L)1313D6D6數(shù)據(jù)數(shù)據(jù)(I/O)6 6E E使能信號(hào)使能信號(hào)1414D7D7數(shù)據(jù)數(shù)據(jù)(I/O)7 7D0D0數(shù)據(jù)數(shù)據(jù)(I/O)1515BLABLA背光源正極背光源正極8 8D1D1數(shù)據(jù)數(shù)據(jù)(I/O)1616BLKBLK背光源負(fù)極背光源負(fù)極4.5.2 16024.5.2 1602的指令的指令 一、基本操作一、基本操作 1602是單片機(jī)外部器件,基本操作以單片是單片機(jī)外部器件,基本操作以單片機(jī)為主器件進(jìn)行。這些操作包括讀狀態(tài)、寫指令、機(jī)為主器件進(jìn)行。這

7、些操作包括讀狀態(tài)、寫指令、讀數(shù)據(jù)、寫數(shù)據(jù)等。數(shù)據(jù)的傳輸通過讀數(shù)據(jù)、寫數(shù)據(jù)等。數(shù)據(jù)的傳輸通過1602的數(shù)的數(shù)據(jù)端口據(jù)端口D0D7,操作類型由三個(gè)控制端電平組,操作類型由三個(gè)控制端電平組合控制。詳細(xì)的操作間表合控制。詳細(xì)的操作間表4-5-2。在數(shù)據(jù)或指令。在數(shù)據(jù)或指令的讀寫過程中,控制端外加電平有一定的時(shí)序要的讀寫過程中,控制端外加電平有一定的時(shí)序要求,圖求,圖4-5-2、4-5-3分別為該器件的讀寫操作分別為該器件的讀寫操作時(shí)序圖,時(shí)序圖說明了三個(gè)控制端口與數(shù)據(jù)之間時(shí)序圖,時(shí)序圖說明了三個(gè)控制端口與數(shù)據(jù)之間的時(shí)間對(duì)應(yīng)關(guān)系,這是基本操作的程序設(shè)計(jì)的基的時(shí)間對(duì)應(yīng)關(guān)系,這是基本操作的程序設(shè)計(jì)的基礎(chǔ)。礎(chǔ)

8、。4-5-216024-5-21602基本讀寫操作控制基本讀寫操作控制讀讀狀狀態(tài)態(tài)輸輸入入RS=L,R/W=H,E=H輸輸出出D0D7=指令碼指令碼寫寫指指令令輸輸入入RS=L,R/W=L,D0 0D7=指令碼指令碼, ,E=高脈沖高脈沖輸輸出出無無讀讀數(shù)數(shù)據(jù)據(jù)輸輸入入RS=H,R/W=H,E=H輸輸出出D0D7=數(shù)據(jù)數(shù)據(jù)寫寫數(shù)數(shù)據(jù)據(jù)輸輸入入RS=H,R/W=L,D0 0D7=數(shù)據(jù),數(shù)據(jù),E=高脈沖高脈沖輸輸出出無無 圖圖4-5-2 4-5-2 讀操作時(shí)序讀操作時(shí)序圖圖4-5-3 寫操作時(shí)序?qū)懖僮鲿r(shí)序二、二、1602指令指令 LCD1602液晶模塊內(nèi)部的控制器共有液晶模塊內(nèi)部的控制器共有11條

9、控制指令和操作,各指令利用兩位條控制指令和操作,各指令利用兩位16進(jìn)制代進(jìn)制代碼表示,其功能和指令碼下面一一介紹。碼表示,其功能和指令碼下面一一介紹。 (1)清屏指令)清屏指令 該指令代碼為該指令代碼為0 x01,單片機(jī)向,單片機(jī)向1602的數(shù)的數(shù)據(jù)端口寫入據(jù)端口寫入0 x01后,后,1602自動(dòng)將本身自動(dòng)將本身DDRAM的內(nèi)容全部填入的內(nèi)容全部填入空白空白的的ASCII 20H,并將地址計(jì)數(shù)器并將地址計(jì)數(shù)器AC的值設(shè)為的值設(shè)為0,同時(shí)光標(biāo)歸位,同時(shí)光標(biāo)歸位,即將光標(biāo)撤回液晶顯示屏的左上方。此時(shí)顯示器即將光標(biāo)撤回液晶顯示屏的左上方。此時(shí)顯示器無顯示。清屏指令格式見表表無顯示。清屏指令格式見表表

10、4-5-3。清清 屏屏0 00 00 00 00 00 00 00 00 01 1 表表4-5-34-5-3清屏指令格式清屏指令格式指指令令功功能能指令編碼指令編碼RSRSR R/ /W WD DB B7 7D DB B6 6D DB B5 5D DB B4 4D DB B3 3D DB B2 2D DB B1 1D DB B0 0(2)光標(biāo)歸位指令)光標(biāo)歸位指令 光標(biāo)歸位指令代碼光標(biāo)歸位指令代碼0 x02或或0 x03,X表示表示0或或1,其格式見表其格式見表4-5-4。其主要功能是把地址計(jì)數(shù)器。其主要功能是把地址計(jì)數(shù)器(AC)的值設(shè)置為的值設(shè)置為0,保持,保持DDRAM的內(nèi)容不變,同的內(nèi)容

11、不變,同時(shí)把光標(biāo)撤回到顯示器的左上方。時(shí)把光標(biāo)撤回到顯示器的左上方。表表4-5-4光標(biāo)歸位指令格式光標(biāo)歸位指令格式指指令令功功能能指指 令令 編編 碼碼RSRSR/R/W WDBDB7 7DBDB6 6DBDB5 5DBDB4 4DBDB3 3DBDB2 2DBDB1 1DBDB0 0光光標(biāo)標(biāo)歸歸位位0 00 00 00 00 00 00 00 01 1 (3)模式設(shè)置指令)模式設(shè)置指令 指令碼格式見表指令碼格式見表4-5-5。其中。其中I/D為為0時(shí),時(shí),寫入新數(shù)據(jù)后光標(biāo)右移,寫寫入新數(shù)據(jù)后光標(biāo)右移,寫1時(shí)寫入新數(shù)據(jù)后光時(shí)寫入新數(shù)據(jù)后光標(biāo)左移顯示不移動(dòng);標(biāo)左移顯示不移動(dòng);S=0時(shí),寫入新數(shù)據(jù)

12、后顯示時(shí),寫入新數(shù)據(jù)后顯示屏幕不移動(dòng),為屏幕不移動(dòng),為1時(shí)寫入新數(shù)據(jù)后顯示屏幕整體時(shí)寫入新數(shù)據(jù)后顯示屏幕整體右移右移1個(gè)字符。如指令代碼為個(gè)字符。如指令代碼為0 x06時(shí),光標(biāo)隨時(shí),光標(biāo)隨寫入數(shù)據(jù)自動(dòng)右移。寫入數(shù)據(jù)自動(dòng)右移。表表4-5-5 模式設(shè)置指令格式模式設(shè)置指令格式指指令令功功能能指指 令令 編編 碼碼RSRSR R/ /W WD DB B7 7D DB B6 6D DB B5 5D DB B4 4D DB B3 3D DB B2 2D DB B1 1D DB B0 0模模式式設(shè)設(shè)置置0 00 0 0 0 0 0 0 0 0 0 0 0 1 1 I I/ /D DS S表表4-5-5 模

13、式設(shè)置指令格式模式設(shè)置指令格式(4)顯示開關(guān)控制指令)顯示開關(guān)控制指令 表表4-5-6為顯示開關(guān)控制指令格式,其中為顯示開關(guān)控制指令格式,其中D為為0時(shí)關(guān)顯示功能,為時(shí)關(guān)顯示功能,為1開顯示功能,開顯示功能,C為為0時(shí)無時(shí)無光爍,為光爍,為1時(shí)有光爍;時(shí)有光爍;B為為0時(shí)光標(biāo)閃爍,為時(shí)光標(biāo)閃爍,為1時(shí)時(shí)光標(biāo)不閃爍,如指令碼光標(biāo)不閃爍,如指令碼0 x0C,設(shè)置為顯示功能,設(shè)置為顯示功能開,無光標(biāo),光標(biāo)不閃爍。開,無光標(biāo),光標(biāo)不閃爍。表表4-5-6 4-5-6 顯示開關(guān)控制指令碼格式顯示開關(guān)控制指令碼格式指指令令功功能能指指 令令 編編 碼碼RSRSR/R/W WDBDB7 7DBDB6 6DBD

14、B5 5DBDB4 4DBDB3 3DBDB2 2DBDB1 1DBDB0 0顯顯示示開開關(guān)關(guān)0 00 00 00 00 00 01 1D DC CB B(5)屏幕光標(biāo)指令)屏幕光標(biāo)指令 屏幕光標(biāo)指令指令格式見表屏幕光標(biāo)指令指令格式見表4-5-6所示,其所示,其中中S/C、R/L設(shè)定設(shè)定0、0時(shí)光標(biāo)左移時(shí)光標(biāo)左移1格,且格,且AC減減1;0、1時(shí)光標(biāo)右移時(shí)光標(biāo)右移1格,且格,且AC加加1;1、0時(shí)顯示器上的字符左移時(shí)顯示器上的字符左移1格,光標(biāo)不動(dòng);格,光標(biāo)不動(dòng);1、1時(shí)時(shí)顯示器上的字符右移顯示器上的字符右移1格,光標(biāo)不動(dòng)。如指令碼格,光標(biāo)不動(dòng)。如指令碼0 x14,設(shè)置為,設(shè)置為AC+1,光標(biāo)

15、右移,光標(biāo)右移1格(打字的格(打字的效果)。效果)。表表4-5-6屏幕光標(biāo)指令格式屏幕光標(biāo)指令格式指指令令功功能能指指 令令 編編 碼碼RSRSR/R/W WDBDB7 7DBDB6 6DBDB5 5DBDB4 4DBDB3 3DBDB2 2DBDB1 1DBDB0 0屏屏幕幕光光標(biāo)標(biāo)0 00 00 00 00 01 1S S/ /C CR R/ /L L (6)功能設(shè)定指令)功能設(shè)定指令 功能設(shè)定指令主要是設(shè)置功能設(shè)定指令主要是設(shè)置1602的初始工作的初始工作狀態(tài),具體指令格式見表狀態(tài),具體指令格式見表4-5-7所示。其中所示。其中DL為為0 時(shí),數(shù)據(jù)總線為時(shí),數(shù)據(jù)總線為4位,位,1=數(shù)據(jù)總

16、線為數(shù)據(jù)總線為8位;位;N為顯示為顯示1行,為行,為1時(shí)顯示時(shí)顯示2行;行;F為為0 時(shí),時(shí),1602顯示的一個(gè)字符為顯示的一個(gè)字符為 57點(diǎn)陣,點(diǎn)陣,F(xiàn)為為1時(shí)為時(shí)為510點(diǎn)陣;如指令碼點(diǎn)陣;如指令碼0 x38,1602被設(shè)置成被設(shè)置成為為8位并行數(shù)據(jù)接口,顯示位并行數(shù)據(jù)接口,顯示2行,行,5*7點(diǎn)陣顯示。點(diǎn)陣顯示。表表4-5-7 4-5-7 功能設(shè)定指令格式功能設(shè)定指令格式指指令令功功能能指指 令令 編編 碼碼RSRSR R/ /W WD DB B7 7D DB B6 6D DB B5 5D DB B4 4D DB B3 3D DB B2 2D DB B1 1D DB B0 0功功能能設(shè)設(shè)

17、定定0 00 00 00 01 1D DL LN NF F (7 7)設(shè)定)設(shè)定CGRAM/DDRAMCGRAM/DDRAM指令指令 設(shè)定設(shè)定CGRAM/DDRAMCGRAM/DDRAM指令有指令有0 x40 + 0 x40 + 地址、地址、0 x80 0 x80 + + 地址兩個(gè)。地址兩個(gè)。0 x400 x40是設(shè)定是設(shè)定CGRAMCGRAM地址命令,地址地址命令,地址是指你要設(shè)置是指你要設(shè)置CGRAMCGRAM的地址;的地址;0 x800 x80是設(shè)定是設(shè)定DDRAMDDRAM地地址命令,地址是指要寫入的址命令,地址是指要寫入的DDRAMDDRAM地址。指令格地址。指令格式見表式見表4-5

18、-84-5-8所示。所示。表表4-5-8 設(shè)定設(shè)定CGRAM/DDRAM指令格式指令格式指指令令功功能能指指 令令 編編 碼碼RSRSR R/ /W WD DB B7 7D DB B6 6D DB B5 5D DB B4 4D DB B3 3D DB B2 2D DB B1 1DB0DB0設(shè)設(shè)定定CGRAM0 00 00 01 1CGRAMCGRAM地址(地址(6位)位)設(shè)設(shè)定定DDRAM0 00 01 1DDRAMDDRAM地址(地址(7位)位)(8)讀取忙信號(hào)或)讀取忙信號(hào)或AC地址指令地址指令 該操作該操作RS和和R/W,當(dāng),當(dāng)RS=0、R/W=1時(shí),時(shí),單片機(jī)讀取忙碌信號(hào)單片機(jī)讀取忙碌

19、信號(hào)BF的內(nèi)容,的內(nèi)容,BF=1表示表示 液晶顯示器忙,暫時(shí)無法接收單片機(jī)送來的數(shù)據(jù)液晶顯示器忙,暫時(shí)無法接收單片機(jī)送來的數(shù)據(jù)或指令或指令;當(dāng)當(dāng)BF=0時(shí),液晶顯示器可以接收單片機(jī)時(shí),液晶顯示器可以接收單片機(jī)送來的數(shù)據(jù)或指令;同時(shí)單片機(jī)讀取地址計(jì)數(shù)器送來的數(shù)據(jù)或指令;同時(shí)單片機(jī)讀取地址計(jì)數(shù)器(AC)的內(nèi)容。指令格式見表的內(nèi)容。指令格式見表4-5-8所示。所示。表表4-5-8 讀取忙信號(hào)或讀取忙信號(hào)或AC地址指令格式地址指令格式指指令令功功能能指指 令令 編編 碼碼RSRSR R/ /W WD DB B7 7D DB B6 6D DB B5 5D DB B4 4D DB B3 3D DB B2

20、2D DB B1 1DB0DB0讀讀取取忙忙信信號(hào)號(hào)或或AC地地址址0 01 1B BF FACAC內(nèi)容(內(nèi)容(7位)位)(9)寫入)寫入CGRAM/DDRAM數(shù)據(jù)操作數(shù)據(jù)操作 當(dāng)當(dāng)RS=1、R/W=0時(shí),單片機(jī)可以將字符時(shí),單片機(jī)可以將字符碼寫入碼寫入DDRAM,以使液晶顯示屏顯示出相對(duì)應(yīng),以使液晶顯示屏顯示出相對(duì)應(yīng)的字符,也可以將用戶自己設(shè)計(jì)的圖形存入的字符,也可以將用戶自己設(shè)計(jì)的圖形存入CGRAM。操作格式見表。操作格式見表4-5-9所示。所示。表表4-5-9寫入寫入CGRAM/DDRAM數(shù)據(jù)操作格式數(shù)據(jù)操作格式4-5-94-5-9寫入寫入CGRAM/DDRAM數(shù)據(jù)操作格式數(shù)據(jù)操作格式指

21、令指令功能功能指指 令令 編編 碼碼RSRSR R/ /W WD DB B7 7D DB B6 6D DB B5 5D DB B4 4D DB B3 3D DB B2 2D DB B1 1DB0DB0數(shù)據(jù)數(shù)據(jù)寫入寫入CGRAMCGRAM/DDRA/DDRAM M中中1 10 0寫入的數(shù)據(jù)(寫入的數(shù)據(jù)(7位)位)(1010)從)從CGRAM/DDRAMCGRAM/DDRAM讀數(shù)據(jù)指令讀數(shù)據(jù)指令 當(dāng)當(dāng)RS=1RS=1、R/W=1R/W=1時(shí),單片機(jī)機(jī)讀取時(shí),單片機(jī)機(jī)讀取DDRAMDDRAM或或CGRAMCGRAM中的內(nèi)容。操作格式見表中的內(nèi)容。操作格式見表4-5-94-5-9所示。所示。表表4-5

22、-9從從CGRAM/DDRAM讀數(shù)據(jù)操作格式讀數(shù)據(jù)操作格式指指令令功功能能指指 令令 編編 碼碼RSRSR R/ /W WD DB B7 7D DB B6 6D DB B5 5D DB B4 4D DB B3 3D DB B2 2D DB B1 1DB0DB0從從CGRAM/DDRAM讀讀數(shù)數(shù)據(jù)據(jù)1 11 1讀出的數(shù)據(jù)(讀出的數(shù)據(jù)(7位)位)三、三、1602的的RAM地址映射及標(biāo)準(zhǔn)字庫表地址映射及標(biāo)準(zhǔn)字庫表 液晶顯示模塊是一個(gè)慢顯示器件,所以在執(zhí)液晶顯示模塊是一個(gè)慢顯示器件,所以在執(zhí)行每條指令之前一定要確認(rèn)模塊的忙標(biāo)志為低電行每條指令之前一定要確認(rèn)模塊的忙標(biāo)志為低電平,表示不忙,否則此指令失效

23、。要顯示字符時(shí)平,表示不忙,否則此指令失效。要顯示字符時(shí)要先輸入顯示字符地址,也就是告訴模塊在哪里要先輸入顯示字符地址,也就是告訴模塊在哪里顯示字符,圖顯示字符,圖4-5-4是是1602的內(nèi)部顯示地址。的內(nèi)部顯示地址。圖圖4-5-4 LCD1602的內(nèi)部的內(nèi)部RAM地址映射圖地址映射圖 例如第二行第一個(gè)字符的地址是例如第二行第一個(gè)字符的地址是40H,那么,那么是否直接寫入是否直接寫入40H就可以將光標(biāo)定位在第二行第就可以將光標(biāo)定位在第二行第一個(gè)字符的位置呢?這樣不行,因?yàn)閷懭腼@示地一個(gè)字符的位置呢?這樣不行,因?yàn)閷懭腼@示地址時(shí)要求最高位址時(shí)要求最高位D7恒定為高電平恒定為高電平1所以實(shí)際寫入所

24、以實(shí)際寫入的數(shù)據(jù)應(yīng)該是的數(shù)據(jù)應(yīng)該是01000000B(40H)+10000000B(80H)=11000000B(C0H)。 在對(duì)液晶模塊的初始化中要先設(shè)置其顯示模在對(duì)液晶模塊的初始化中要先設(shè)置其顯示模式,在液晶模塊顯示字符時(shí)光標(biāo)是自動(dòng)右移的,式,在液晶模塊顯示字符時(shí)光標(biāo)是自動(dòng)右移的,無需人工干預(yù)。每次輸入指令前都要判斷液晶模無需人工干預(yù)。每次輸入指令前都要判斷液晶模塊是否處于忙的狀態(tài)。塊是否處于忙的狀態(tài)。 1602液晶模塊內(nèi)部的字符發(fā)生存儲(chǔ)器液晶模塊內(nèi)部的字符發(fā)生存儲(chǔ)器(CGROM)已經(jīng)存儲(chǔ)了)已經(jīng)存儲(chǔ)了160個(gè)不同的點(diǎn)陣字符個(gè)不同的點(diǎn)陣字符圖形,如圖圖形,如圖4-5-5所示,這些字符有:阿

25、拉伯?dāng)?shù)所示,這些字符有:阿拉伯?dāng)?shù)字、英文字母的大小寫、常用的符號(hào)、和日文假字、英文字母的大小寫、常用的符號(hào)、和日文假名等,每一個(gè)字符都有一個(gè)固定的代碼,比如大名等,每一個(gè)字符都有一個(gè)固定的代碼,比如大寫的英文字母寫的英文字母“A”的代碼是的代碼是01000001B(41H),顯示時(shí)模塊把地址),顯示時(shí)模塊把地址41H中的點(diǎn)陣字中的點(diǎn)陣字符圖形顯示出來,我們就能看到字母符圖形顯示出來,我們就能看到字母“A”.圖圖4-5-5 CGROM和和CGRAM中字符代碼與字符圖形對(duì)應(yīng)關(guān)系中字符代碼與字符圖形對(duì)應(yīng)關(guān)系4.5.3 1602字符型字符型LCD的應(yīng)用實(shí)例的應(yīng)用實(shí)例 一、電路原理一、電路原理 實(shí)驗(yàn)電路

26、如下圖實(shí)驗(yàn)電路如下圖4-5-6所示,所示,LCD1602用用的是的是8位數(shù)據(jù)線模式,具體接法為使能端位數(shù)據(jù)線模式,具體接法為使能端E接接P20,R/W端接端接P21,RS端接端接P22,D0D7接單片機(jī)的接單片機(jī)的P0端口。端口。圖圖4-5-6 4-5-6 單片機(jī)和單片機(jī)和LCD1602LCD1602的連接示意圖的連接示意圖二、二、 1602 的的C語言程序語言程序o / /* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */ /o * * 程序描述:程序描述:LCD1602LCD1602的控制芯片

27、為的控制芯片為HD44780HD44780o * * P2.0,P2.1,P2.2 P2.0,P2.1,P2.2則連接到則連接到LCDLCD顯示器的控制線顯示器的控制線, ,o * * P0 P0口程序執(zhí)行時(shí)將顯示河南科技學(xué)院網(wǎng)址口程序執(zhí)行時(shí)將顯示河南科技學(xué)院網(wǎng)址“”等相關(guān)信息等相關(guān)信息o * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */ /o#include o#define uchar unsigned charosbit RS = P22;osbit RW = P21;

28、osbit E = P20;o ochar code num=0123456789; void delay(unsigned int n) unsigned int j=0; for(;n0;n-) for(j=0;j125;j+); void write_command(uchar command)RW = 0;RS = 0;E = 1;P0 = command;delay(20);E = 0;RW = 1; ovoid write_data(uchar date)ooRW = 0;oRS = 1;oE = 1;oP0 = date;odelay(20);oE = 0;oRW = 1;oovoid display_string(uchar *p)oowhile(*p)oowrite_data(*p);op+;oo void gotoxy(uchar y,uchar x

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論