第六章大規(guī)模集成電路_第1頁
第六章大規(guī)模集成電路_第2頁
第六章大規(guī)模集成電路_第3頁
第六章大規(guī)模集成電路_第4頁
第六章大規(guī)模集成電路_第5頁
已閱讀5頁,還剩51頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、n“軟件固化軟件固化”, “以存代算以存代算”思想的體現(xiàn)思想的體現(xiàn)n用軟件設(shè)計(jì)硬件:硬件描述語言用軟件設(shè)計(jì)硬件:硬件描述語言(HDL)n硬件設(shè)計(jì)的進(jìn)步硬件設(shè)計(jì)的進(jìn)步:方便、靈活、可修改設(shè)計(jì)方便、靈活、可修改設(shè)計(jì)用戶可編程用戶可編程設(shè)計(jì)方便設(shè)計(jì)方便易于實(shí)現(xiàn)易于實(shí)現(xiàn)主要內(nèi)容主要內(nèi)容: 可編程邏輯器件及應(yīng)用可編程邏輯器件及應(yīng)用最常用的可編程邏輯器件最常用的可編程邏輯器件 可編程邏輯器件可編程邏輯器件PLD (Programmable Logic Device) 是一大類器件的總稱是一大類器件的總稱,包括包括:ROM (Read-Only Memory) 只讀存儲(chǔ)器只讀存儲(chǔ)器PLA (Programm

2、able Logic Array)可編程邏輯陣列可編程邏輯陣列PAL (Programmable Array Logic)可編程陣列邏輯可編程陣列邏輯GAL (General Array Logic)通用陣列邏輯通用陣列邏輯還有還有FPGA,CPLD等等 62、3 存儲(chǔ)器存儲(chǔ)器(ROM、RAM)兩大類存儲(chǔ)器(兩大類存儲(chǔ)器(Memory)ROM (Read-Only Memory)一旦信息寫入,在機(jī)器上只讀一旦信息寫入,在機(jī)器上只讀RAM (Random-Access Memory)隨機(jī)存儲(chǔ)器,在運(yùn)行狀態(tài)可讀可寫隨機(jī)存儲(chǔ)器,在運(yùn)行狀態(tài)可讀可寫ROM功能功能存放固定信息存放固定信息程序,常數(shù),指令

3、,程序,常數(shù),指令,.ROM的優(yōu)點(diǎn)的優(yōu)點(diǎn)信息非信息非“易失易失”(Nonvolatile)簡(jiǎn)單,容量大簡(jiǎn)單,容量大ROM分類分類ROMPROMMask PROMEPROMUV EPROME2PROMFlash E2PROMStandard E2PROMOTP PROM多次編程多次編程一次編程一次編程工廠編程工廠編程用戶編程用戶編程只讀存儲(chǔ)器只讀存儲(chǔ)器ROM分類分類ROMROM 掩膜掩膜ROMROM內(nèi)容只能讀出,不能改變內(nèi)容只能讀出,不能改變. .半導(dǎo)體廠家用掩膜技術(shù)寫入程序半導(dǎo)體廠家用掩膜技術(shù)寫入程序成本低,成本低,適用于批量生產(chǎn)適用于批量生產(chǎn)不適用研究工作不適用研究工作 PROM PROM可

4、編程可編程ROMROM內(nèi)容只能讀出,不能改變內(nèi)容只能讀出,不能改變. .用戶使用特殊方法進(jìn)行編程,只用戶使用特殊方法進(jìn)行編程,只能寫一次,一次編程不能修改。能寫一次,一次編程不能修改。適用于批量生產(chǎn)適用于批量生產(chǎn)不適用研究工作不適用研究工作EPROMEPROM光可擦除光可擦除PROMPROM固化程序用紫外線光照固化程序用紫外線光照5 51515分鐘擦除,分鐘擦除,擦除后可以重新固化新的程擦除后可以重新固化新的程序和數(shù)據(jù)。序和數(shù)據(jù)。用戶可以對(duì)芯片進(jìn)行多次編程用戶可以對(duì)芯片進(jìn)行多次編程和擦除。和擦除。適用于研究工作適用于研究工作不適用于批量生不適用于批量生產(chǎn)。產(chǎn)。E E2 2PROMPROM電可擦

5、除電可擦除PROMPROM實(shí)現(xiàn)全片和字節(jié)擦寫改寫,實(shí)現(xiàn)全片和字節(jié)擦寫改寫,作為非易失性作為非易失性RAMRAM使用。使用。集成度和速度不及集成度和速度不及EPROMEPROM,價(jià)格高,價(jià)格高,擦寫在原系統(tǒng)中在線進(jìn)行。擦寫在原系統(tǒng)中在線進(jìn)行。Flash MemoryFlash Memory快速電擦寫存儲(chǔ)器快速電擦寫存儲(chǔ)器可以整體電擦除(時(shí)間可以整體電擦除(時(shí)間1S1S)和按字節(jié)重新高速編程。和按字節(jié)重新高速編程。CMOS CMOS 低功耗;低功耗;編程快編程快(每個(gè)字節(jié)編程(每個(gè)字節(jié)編程100s100s 整個(gè)芯片整個(gè)芯片0. 5s0. 5s););擦寫次數(shù)多擦寫次數(shù)多(通??蛇_(dá)到(通??蛇_(dá)到10

6、10萬)萬)與與E E2 2PROMPROM比較:容量大、價(jià)格比較:容量大、價(jià)格低、可靠性高等優(yōu)勢(shì)。低、可靠性高等優(yōu)勢(shì)。用于用于PCPC機(jī)內(nèi)裝操機(jī)內(nèi)裝操作系統(tǒng)和系統(tǒng)不作系統(tǒng)和系統(tǒng)不能丟失初始功能能丟失初始功能的專門領(lǐng)域。的專門領(lǐng)域。需要周期性地修需要周期性地修改被存儲(chǔ)的數(shù)據(jù)改被存儲(chǔ)的數(shù)據(jù)表的場(chǎng)合。表的場(chǎng)合。內(nèi)存內(nèi)存細(xì)分細(xì)分信息存取方式信息存取方式特點(diǎn)特點(diǎn)用途用途ROM結(jié)構(gòu)結(jié)構(gòu)字線字線位線位線4字字4位位存儲(chǔ)體存儲(chǔ)體輸出緩沖器輸出緩沖器W0W1W2W3A0A1B3B2B1B0F3F2F1F0 地址地址譯碼器譯碼器地地址址RAM結(jié)構(gòu)結(jié)構(gòu)讀讀/寫控制寫控制存儲(chǔ)體存儲(chǔ)體讀寫控制電路讀寫控制電路W0W1

7、W2W3A0A1B3B2B1B0 地址地址譯碼器譯碼器地地址址片選片選(I/O)ROM的工作原理的工作原理001100011100存儲(chǔ)矩陣是一個(gè)存儲(chǔ)矩陣是一個(gè)“或或”邏輯陣列邏輯陣列100WWD311WWD3202WWWD313WWD W3=A1A0m3m2W2=A1A0m1W1=A1A0m0W0=A1A0A0A1地地址址譯譯碼碼器器D3D2D1D0 簡(jiǎn)化的簡(jiǎn)化的 ROM存儲(chǔ)矩陣陣列圖存儲(chǔ)矩陣陣列圖存儲(chǔ)體或陣列可以畫為:存儲(chǔ)體或陣列可以畫為:W0W1W2W3B0 B1 B2 B3W0W1W2W3B011W0W2B011地址譯碼:與陣列地址譯碼:與陣列A0A1W0 W1 W2 W3A0_A0A1

8、_A1A0A0A1A1W0nROM字?jǐn)?shù)很大時(shí),譯碼系統(tǒng)很復(fù)雜。字?jǐn)?shù)很大時(shí),譯碼系統(tǒng)很復(fù)雜。n字?jǐn)?shù)較大,采用多級(jí)譯碼字?jǐn)?shù)較大,采用多級(jí)譯碼n字?jǐn)?shù)很少,一級(jí)譯碼字?jǐn)?shù)很少,一級(jí)譯碼A0A1W0&PROM 與陣列固定、或陣列可編程與陣列固定、或陣列可編程固定連接固定連接可編程連接可編程連接不連接不連接W0 W1 W2 W3A0A11111Y0Y1Y2Y3&8x4 ROMA0A1A2F0F1F2F3與陣列與陣列不可編程不可編程或陣列或陣列可編程可編程8個(gè)存儲(chǔ)單元,每個(gè)單元存儲(chǔ)個(gè)存儲(chǔ)單元,每個(gè)單元存儲(chǔ)4位二進(jìn)制數(shù)碼。位二進(jìn)制數(shù)碼。512 x 8PROM芯片結(jié)構(gòu)芯片結(jié)構(gòu)存儲(chǔ)陣列存儲(chǔ)陣列8-1

9、Mux64x64譯碼譯碼64A8A3.緩沖緩沖3A2A1A06位位3位位.F7 F6 . F0888CE0CE1CE2緩沖緩沖ROM的應(yīng)用的應(yīng)用1、位擴(kuò)展、位擴(kuò)展用用4片片32 8ROM擴(kuò)展成擴(kuò)展成32 32ROM?!纠纠?、字?jǐn)U展、字?jǐn)U展用用256片片(512x8) ROM芯片擴(kuò)展成芯片擴(kuò)展成 128Kx8 ROM512x8ROM512x8ROM512x8ROM512x8ROM.16片片16片片4-164-16 譯碼譯碼列選擇列選擇行選擇行選擇_CE0_CE1【例【例1】用用2片片(32x8) ROM芯片擴(kuò)展成芯片擴(kuò)展成 128x4 ROM【例【例2】3、用存儲(chǔ)器實(shí)現(xiàn)組合邏輯函數(shù)、用存儲(chǔ)

10、器實(shí)現(xiàn)組合邏輯函數(shù)【例【例1】 試用試用ROM設(shè)計(jì)一個(gè)八段字符顯示的譯碼器。設(shè)計(jì)一個(gè)八段字符顯示的譯碼器。 1 0 0 0 1 1 1 01 1 1 1 1 1 0 1 1 1 1 01 1 1 0 0 1 1 1 1 0 1 01 1 0 1 0 0 0 1 1 0 1 01 1 0 0 0 0 1 1 1 1 1 01 0 1 1 1 1 1 1 1 0 1 01 0 1 0 1 1 1 1 0 1 1 11 0 0 1 1 1 1 1 1 1 1 11 0 0 0 1 1 1 0 0 0 0 10 1 1 1 1 0 1 1 1 1 1 1 0 1 1 0 1 0 1 1 0 1 1 1

11、0 1 0 1 0 1 1 0 0 1 1 1 0 1 0 0 1 1 1 1 0 0 1 10 0 1 1 1 1 0 1 1 0 1 10 0 1 0 0 1 1 0 0 0 0 10 0 0 1 1 1 1 1 1 1 0 10 0 0 0a b c d e f g hD C B A顯顯 示示輸輸 出出輸輸 入入電路圖電路圖EN【例【例2】 試用試用ROM產(chǎn)生如下的一組多輸出邏輯函數(shù)產(chǎn)生如下的一組多輸出邏輯函數(shù)Y1=DCB+DCBY2=DCBA+CBA+DCBAY3=DCBA+DCBAY4=DCBA+DCBA解:將原式化為最小項(xiàng)之和的形式解:將原式化為最小項(xiàng)之和的形式Y(jié)1=DCBA+DC

12、BA+DCBA+DCBA=m2+m3+m6+m7Y2=DCBA+DCBA+DCBA+DCBA=m6+m7+m10+m14Y3=DCBA+DCBA=m4+m14Y4=DCBA+DCBA=m2+m15點(diǎn)陣圖點(diǎn)陣圖Y1=DCBA+DCBA+DCBA+DCBA=m2+m3+m6+m7Y2=DCBA+DCBA+DCBA+DCBA=m6+m7+m10+m14Y3=DCBA+DCBA=m4+m14Y4=DCBA+DCBA=m2+m15DCBAm0m1m2m14m154、字符發(fā)生器、字符發(fā)生器字符:字符:0、1點(diǎn)陣組成點(diǎn)陣組成例如:字母例如:字母E1111110000100001111010000100001

13、11117x5點(diǎn)陣點(diǎn)陣7x5 ROM計(jì)計(jì)數(shù)數(shù)器器CK譯譯碼碼器器64 可編程邏輯器件概述可編程邏輯器件概述nPLA針對(duì)針對(duì)ROM這一特點(diǎn)這一特點(diǎn)邏輯壓縮邏輯壓縮W0 W1 W2 W3A0A1+Y0Y1Y2Y3PROM與陣列固定、或陣列可編程與陣列固定、或陣列可編程W0 W1 W2 W3A0A1+Y0Y1Y2Y3PLA 與、或陣列均可編程與、或陣列均可編程一、可編程邏輯陣列一、可編程邏輯陣列(PLA)集成化的集成化的PLA16路輸入路輸入8路輸出路輸出I0I1I15“或或”矩陣矩陣“與與”矩陣矩陣VCC48 P項(xiàng)項(xiàng)可控求反異或門可控求反異或門【例【例】存儲(chǔ)信息表存儲(chǔ)信息表輸輸 入入輸輸 出出I3

14、 I2 I1 I0F7 F6 F5 F4 F3 F2 F1 F00 0 0 00 0 0 0 0 0 0 00 0 0 10 0 0 0 0 0 0 10 0 1 00 0 0 0 0 1 0 00 0 1 10 0 0 0 1 0 0 10 1 0 00 0 0 1 0 0 0 00 1 0 10 0 1 1 1 0 0 10 1 1 00 0 0 0 0 1 0 00 1 1 10 0 1 1 0 0 0 11 0 0 00 1 0 0 0 0 0 01 0 0 10 1 0 1 0 0 0 11 0 1 00 1 0 0 0 1 0 01 0 1 10 1 0 1 0 0 0 11 1

15、0 00 0 0 1 0 0 0 01 1 0 10 0 0 0 1 0 0 11 1 1 01 1 1 0 0 1 0 01 1 1 11 1 1 0 0 0 0 1用用16x8 ROM存儲(chǔ)存儲(chǔ)F0F1F2F3F4F5F6F7I0I1I2I3P0 P1 P2 P3 P4 P5 P6 P7 P8I0I1I2I3P0 P1 P2 P3 P4 P5 P6 P7 P8P 15 ROM容量:與陣列容量:與陣列8x16,或陣列,或陣列 16x8,總?cè)萘?,總?cè)萘?56。用用PLA存儲(chǔ)存儲(chǔ)將表達(dá)式邏輯壓縮將表達(dá)式邏輯壓縮(化簡(jiǎn)化簡(jiǎn))輸輸 入入輸輸 出出I3 I2 I1 I0F7 F6 F5 F4 F3 F2

16、 F1 F00 0 0 00 0 0 0 0 0 0 00 0 0 10 0 0 0 0 0 0 10 0 1 00 0 0 0 0 1 0 00 0 1 10 0 0 0 1 0 0 10 1 0 00 0 0 1 0 0 0 00 1 0 10 0 1 1 1 0 0 10 1 1 00 0 0 0 0 1 0 00 1 1 10 0 1 1 0 0 0 11 0 0 00 1 0 0 0 0 0 01 0 0 10 1 0 1 0 0 0 11 0 1 00 1 0 0 0 1 0 01 0 1 10 1 0 1 0 0 0 11 1 0 00 0 0 1 0 0 0 01 1 0 10

17、 0 0 0 1 0 0 11 1 1 01 1 1 0 0 1 0 01 1 1 11 1 1 0 0 0 0 1F0=I0F1=0F2=I1I0F3=I2I1I0+I2I1I0F4=I2I1I0+I3I2I0+I3I2I0F5=I3I2I0+I3I2I1F6=I3I2+I3I2I1F7=I3I2I1=P0=P1=P2+P3=P4+P5+P6=P5+P7=P8+P7=P7點(diǎn)陣圖點(diǎn)陣圖P0=I0P1=I1I0P2=I2I1I0P3=I2I1I0P4=I2I1I0P5=I3I2I0P6=I3I2I0P7=I3I2I1P8=I3I2F0=P0F2=P1F3=P2+P3F5=P5+P7F6=P8+P

18、7F7=P7F1=0F4=P4+P5+P6PLA容量容量2N(輸入數(shù)輸入數(shù))M(輸出數(shù)輸出數(shù))P項(xiàng)數(shù)項(xiàng)數(shù)總點(diǎn)數(shù)總點(diǎn)數(shù):144I0I1I2I3I0I1I2I3P0 P1 P2 P3 P4 P5 P6 P7 P8P0 P1 P2 P3 P4 P5 P6 P7 P8F0F1F2F3F4F5F6F7存入信息表的存入信息表的PLAP0=I0P1=I1I0P2=I2I1I0P3=I2I1I0P4=I2I1I0P5=I3I2I0P6=I3I2I0P7=I3I2I1P8=I3I2F0=P0F2=P1F3=P2+P3F5=P5+P7F6=P8+P7F7=P7F1=0F4=P4+P5+P6F0F2F3F4F5F6

19、F7F1I0I1I2I3P0 P1 P2 P3 P4 P5 P6 P7 P8VCCPLA的特點(diǎn)的特點(diǎn)與陣列可編與陣列可編 ROM的譯碼器是完全譯碼器。的譯碼器是完全譯碼器。N個(gè)輸入必然有個(gè)輸入必然有2N個(gè)字。個(gè)字。PLA的地址譯碼器是非完全譯碼器。用戶可的地址譯碼器是非完全譯碼器。用戶可編程形成編程形成P項(xiàng)項(xiàng)(不是最小項(xiàng)不是最小項(xiàng)) 。 非完全尋址。非完全尋址。 非對(duì)應(yīng)關(guān)系。非對(duì)應(yīng)關(guān)系。 ROM中,信息表示原封不動(dòng)地裝入存儲(chǔ)矩陣中。中,信息表示原封不動(dòng)地裝入存儲(chǔ)矩陣中。PLA中,存入存儲(chǔ)矩陣中的內(nèi)容是經(jīng)過化簡(jiǎn)、壓縮中,存入存儲(chǔ)矩陣中的內(nèi)容是經(jīng)過化簡(jiǎn)、壓縮的,它和信息表不是一一對(duì)應(yīng)的關(guān)系。的,它

20、和信息表不是一一對(duì)應(yīng)的關(guān)系。PLA應(yīng)用舉例應(yīng)用舉例【例【例1】 由由PLA和和D觸發(fā)器組成同時(shí)具有觸發(fā)器組成同時(shí)具有BCD和和Gray輸出的計(jì)數(shù)器輸出的計(jì)數(shù)器 CounterBCD碼碼Gray碼碼CPCPBCDGrayW XYZKLMNP0000000000100010000120010000113001100111401000111150101111116011011110701111110081000110009100110000BCD碼控制函數(shù):碼控制函數(shù):DCBADACBACBCADBABAAQQQQQQDQQQQQQQDQQQQQDQD DCBA76543210PPPPPPPP+=+

21、=+=DADBDCDDDADBDCDDD QD QD QD QQAQBQCQDP0 P1 P2 P3 P4 P5 P6 P7 不要忘記畫不要忘記畫CP!用用PLA和和D觸發(fā)器組成觸發(fā)器組成的同步十進(jìn)制計(jì)數(shù)器的同步十進(jìn)制計(jì)數(shù)器 DA=QADB=QAQB+QAQBQDDC=QAQC+QBQC+QAQBQCDD=QAQD+QAQBQCQD76543210PPPPPPPP+=+=+=DADBDCDD如何通過如何通過PLA輸出,而不是從觸發(fā)器輸出?輸出,而不是從觸發(fā)器輸出?.DQAQBQCQDZYXW具有二具有二十進(jìn)制和循環(huán)碼變換功能的十進(jìn)制計(jì)數(shù)器十進(jìn)制和循環(huán)碼變換功能的十進(jìn)制計(jì)數(shù)器CPBCDGrayW

22、 X Y Z K L M N P00 0 0 0 0 0 0 0 010 0 0 1 0 0 0 0 120 0 1 0 0 0 0 1 130 0 1 1 0 0 1 1 140 1 0 0 0 1 1 1 150 1 0 1 1 1 1 1 160 1 1 0 1 1 1 1 070 1 1 1 1 1 1 0 081 0 0 0 1 1 0 0 091 0 0 1 1 0 0 0 0【例【例2】 由由PLA和和D觸發(fā)器實(shí)現(xiàn)觸發(fā)器實(shí)現(xiàn)4位可變模數(shù)計(jì)數(shù)器位可變模數(shù)計(jì)數(shù)器 二進(jìn)制計(jì)數(shù)二進(jìn)制計(jì)數(shù)器進(jìn)位邏輯器進(jìn)位邏輯判斷邏輯判斷邏輯T=1, 繼續(xù)計(jì)數(shù)繼續(xù)計(jì)數(shù); T=0,復(fù)位到復(fù)位到0000.DA=

23、 QA DB= QBQA+QBQA DC= QCQBQA+QCQB+QCQA DD= QDQCQBQA+QDQC+QDQB+QDQA()TT)TT 計(jì)數(shù)器計(jì)數(shù)器控制函數(shù)控制函數(shù): T=(QAA+QAA)+(QBB+QBB)+(QCC+QCC)+(QDD+QDD)4位可變模數(shù)計(jì)數(shù)器位可變模數(shù)計(jì)數(shù)器 二、二、可編程陣列邏輯可編程陣列邏輯(PAL) ROM PLA或陣列可編程或陣列可編程與、或陣列都可編程,與、或陣列都可編程, 靈活,節(jié)省碼點(diǎn)靈活,節(jié)省碼點(diǎn)PLA PAL工藝:簡(jiǎn)化工藝工藝:簡(jiǎn)化工藝,降低成本降低成本(熔絲工藝熔絲工藝,一次編程一次編程)結(jié)構(gòu):輸入結(jié)構(gòu):輸入/輸出公用輸出公用vPAL是

24、專用詞,是專用詞,MMI公司的產(chǎn)品公司的產(chǎn)品結(jié)構(gòu)結(jié)構(gòu)PLA 與、或陣列均可編程與、或陣列均可編程PAL 與陣列可編程、或陣列固定與陣列可編程、或陣列固定W0 W1 W2 W3A0A1+Y0Y1Y2Y3W0 W1 W2 W3+A0A1Y0Y1Y2Y3PAL畫圖的方式畫圖的方式:只留出可編程的與陣列只留出可編程的與陣列,固定的或陣列用與或門固定的或陣列用與或門互補(bǔ)輸出封互補(bǔ)輸出封鎖多余或項(xiàng)鎖多余或項(xiàng)+.I0I1O0D QCPI7P0P1.P7D= P0+P1+P2+P7幾種幾種PLA的原理圖的原理圖帶有反饋的陣列型帶有反饋的陣列型PALI0I1I7(I/O)0(I/O)1(I/O)7幾種幾種PLA

25、的原理圖的原理圖輸出三態(tài)門由輸出三態(tài)門由P來控制的反饋陣列型來控制的反饋陣列型PAL(局部局部)帶有反饋的寄存器型帶有反饋的寄存器型PAL(局部局部)異或型異或型PAL(局部局部)IIII/OQI/OQI/OECPCPE16R6型型PAL產(chǎn)產(chǎn)品品CLKI1I2I3I4I5I6I7I8Q7Q6Q5Q4Q3Q2OE(I/O)8(I/O)116個(gè)變量個(gè)變量,6個(gè)寄存器個(gè)寄存器 32列對(duì)應(yīng)列對(duì)應(yīng)16個(gè)變量個(gè)變量,每一行是每一行是一個(gè)一個(gè)P項(xiàng)項(xiàng), 每個(gè)每個(gè)P項(xiàng)最項(xiàng)最多多32個(gè)因個(gè)因子相與子相與,每每個(gè)輸出變個(gè)輸出變量量(FF的控的控制函數(shù)制函數(shù))有有8個(gè)個(gè)P項(xiàng)相或項(xiàng)相或.與陣列規(guī)與陣列規(guī)模模:64*32

26、出廠時(shí)與陣出廠時(shí)與陣列每一點(diǎn)都列每一點(diǎn)都可編程可編程,此圖此圖交叉點(diǎn)都是交叉點(diǎn)都是可編程的可編程的!【例【例】4位雙向移位寄存器裝入位雙向移位寄存器裝入PAL16R6雙向移位寄雙向移位寄存器功能表存器功能表DSSDSSQSSQSSDCSSQSSQSSQSSDBSSQSSQSSQSSDASSQSSDSSQSSDLR1010210310310310110210210210010110110110100100雙向移位寄雙向移位寄存器功能表存器功能表 實(shí)現(xiàn)雙向移實(shí)現(xiàn)雙向移位功能的位功能的PALDSSDSSQSSQSSDCSSQSSQSSQSSDBSSQSSQSSQSSDASSQSSDSSQSSDLR101021031031031011021021021001011011011010010065 通用陣列邏輯通用陣列邏輯GALnPAL GALv基本邏輯結(jié)構(gòu)與基本邏輯結(jié)構(gòu)與PAL相同,或陣列不可編程。相同,或陣列不可編程。v電擦除工藝電擦除工藝,可以重復(fù)編程可以重復(fù)編程. 修改設(shè)計(jì)方便修改設(shè)計(jì)方便,可以可以 重復(fù)試驗(yàn)。重復(fù)試驗(yàn)。典型典型GAL器件器件GAL16V816個(gè)輸入變量個(gè)輸入變量,其其中右側(cè)中右側(cè)8個(gè)在個(gè)在OLMC控制下控制下,可可以配置

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論