電子技術(shù)基礎(chǔ)數(shù)字部分第6章._第1頁
電子技術(shù)基礎(chǔ)數(shù)字部分第6章._第2頁
電子技術(shù)基礎(chǔ)數(shù)字部分第6章._第3頁
電子技術(shù)基礎(chǔ)數(shù)字部分第6章._第4頁
電子技術(shù)基礎(chǔ)數(shù)字部分第6章._第5頁
已閱讀5頁,還剩75頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、第六章 時序邏輯電路 6.1 6.1 時序邏輯電路的基本概念時序邏輯電路的基本概念一、一、 時序邏輯電路的結(jié)構(gòu)及特點時序邏輯電路的結(jié)構(gòu)及特點時序邏輯電路時序邏輯電路任何一個時刻的輸出狀態(tài)不僅取決于當(dāng)時的輸入任何一個時刻的輸出狀態(tài)不僅取決于當(dāng)時的輸入信號,還與電路的原狀態(tài)有關(guān)信號,還與電路的原狀態(tài)有關(guān)。時序電路的特點:(時序電路的特點:(1 1)含有具有記憶元件(最常用的是觸發(fā))含有具有記憶元件(最常用的是觸發(fā)器)。器)。(2 2)具有反饋通道。具有反饋通道。組合電路觸發(fā)器電路X1XiZ1ZjQ1QmD1Dm輸入信號信號輸出觸發(fā)器觸發(fā)器輸入信號輸出信號CP圖6.1.1 時序邏輯電路框圖一、分析時

2、序邏輯電路的一般步驟一、分析時序邏輯電路的一般步驟 1 1由邏輯圖寫出下列各邏輯方程式:由邏輯圖寫出下列各邏輯方程式: (1 1)各觸發(fā)器的時鐘方程。)各觸發(fā)器的時鐘方程。 (2 2)時序電路的輸出方程。)時序電路的輸出方程。 (3 3)各觸發(fā)器的驅(qū)動方程。)各觸發(fā)器的驅(qū)動方程。 2 2將驅(qū)動方程代入相應(yīng)觸發(fā)器的特性方程,求得時序邏輯電路將驅(qū)動方程代入相應(yīng)觸發(fā)器的特性方程,求得時序邏輯電路的狀態(tài)方程。的狀態(tài)方程。 3 3根據(jù)狀態(tài)方程和輸出方程,列出該時序電路的狀態(tài)表,畫出根據(jù)狀態(tài)方程和輸出方程,列出該時序電路的狀態(tài)表,畫出狀態(tài)圖或時序圖。狀態(tài)圖或時序圖。 4根據(jù)電路的狀態(tài)表或狀態(tài)圖說明給定時序

3、邏輯電路的邏輯功根據(jù)電路的狀態(tài)表或狀態(tài)圖說明給定時序邏輯電路的邏輯功能。能。6.2 6.2 時序邏輯電路的一般分析方法時序邏輯電路的一般分析方法二、同步時序邏輯電路的分析舉例二、同步時序邏輯電路的分析舉例例例6.2.1:試分析圖試分析圖6.2.2所示的時序邏輯電路。所示的時序邏輯電路。解:該電路為同步時序邏輯電路,時鐘方程可以不寫。解:該電路為同步時序邏輯電路,時鐘方程可以不寫。(1)寫出輸出方程:)寫出輸出方程: 1J1KC11J1KC11Q0QCPXZ=1=1=1&FF1FF011nnQQXZ01)(nQXJ1010KnQXJ0111K (2 2)寫出驅(qū)動方程:)寫出驅(qū)動方程:(3

4、)寫出)寫出JK觸發(fā)器的特性方程,然后將各驅(qū)動方程代入觸發(fā)器的特性方程,然后將各驅(qū)動方程代入JK觸發(fā)器的觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:特性方程,得各觸發(fā)器的次態(tài)方程:(4)作狀態(tài)轉(zhuǎn)換表及狀態(tài)圖)作狀態(tài)轉(zhuǎn)換表及狀態(tài)圖 當(dāng)當(dāng)X=0時:觸發(fā)器的次態(tài)方程簡化為:時:觸發(fā)器的次態(tài)方程簡化為:輸出方程簡化為:輸出方程簡化為:由此作出狀態(tài)表及狀態(tài)圖。由此作出狀態(tài)表及狀態(tài)圖。1Q0Q000110/0/0/16.2.3 X=0時的狀態(tài)圖nnnnnQQXQKQJQ01000010)(nnnnnQQXQKQJQ10111111)(nnnQQQ0110nnnQQQ1011nnQQZ01當(dāng)當(dāng)X=1時:觸發(fā)器的

5、次態(tài)方程簡化為:時:觸發(fā)器的次態(tài)方程簡化為:輸出方程簡化為:輸出方程簡化為:由此作出狀態(tài)表及狀態(tài)圖。由此作出狀態(tài)表及狀態(tài)圖。將將X=0與與X=1的狀態(tài)圖合并的狀態(tài)圖合并 起來得完整的狀態(tài)圖。起來得完整的狀態(tài)圖。0001100/00/00/11/11/01/01Q Q0001001/1/0/06.2.4 X=1時的狀態(tài)圖nnnQQQ0110nnnQQQ1011nnQQZ01根據(jù)狀態(tài)表或狀態(tài)圖,根據(jù)狀態(tài)表或狀態(tài)圖,可畫出在可畫出在CP脈沖作用下電路的時序圖。脈沖作用下電路的時序圖。(5 5)畫時序波形圖。)畫時序波形圖。0001100/00/00/11/11/01/01Q0QXCPZ(6 6)邏輯

6、功能分析:)邏輯功能分析:當(dāng)當(dāng)X=1=1時,按照減時,按照減1 1規(guī)律從規(guī)律從1001001010010010循環(huán)變化,循環(huán)變化,并每當(dāng)轉(zhuǎn)換為并每當(dāng)轉(zhuǎn)換為0000狀態(tài)(最小數(shù))時,輸出狀態(tài)(最小數(shù))時,輸出Z=1=1。該電路一共有該電路一共有3 3個狀態(tài)個狀態(tài)0000、0101、1010。當(dāng)當(dāng)X=0=0時,按照加時,按照加1 1規(guī)律規(guī)律從從0001100000011000循環(huán)變化,循環(huán)變化,并每當(dāng)轉(zhuǎn)換為并每當(dāng)轉(zhuǎn)換為1010狀態(tài)(最大數(shù))時,狀態(tài)(最大數(shù))時,輸出輸出Z=1=1。所以該電路是一個可控的所以該電路是一個可控的3 3進(jìn)制計數(shù)器。進(jìn)制計數(shù)器。0001100/00/00/11/11/01

7、/0圖6.2.5 例6.2.1完整的狀態(tài)圖CP1 1= =Q0 0 (當(dāng)(當(dāng)FF0 0的的Q0 0由由0101時,時,Q1 1才可能改變狀態(tài)。)才可能改變狀態(tài)。)三、異步時序邏輯電路的分析舉例三、異步時序邏輯電路的分析舉例例例6.2.2:試分析圖試分析圖6.2.7所示的時序邏輯電路所示的時序邏輯電路該電路為異步時序邏輯電路。具體分析如下:該電路為異步時序邏輯電路。具體分析如下:(1 1)寫出各邏輯方程式。)寫出各邏輯方程式。時鐘方程:時鐘方程:CP0 0= =CP (時鐘脈沖源的上升沿觸發(fā)。(時鐘脈沖源的上升沿觸發(fā)。)輸出方程:輸出方程:各觸發(fā)器的驅(qū)動方程:各觸發(fā)器的驅(qū)動方程:(3)作狀態(tài)轉(zhuǎn)換

8、表。)作狀態(tài)轉(zhuǎn)換表。(2)將各驅(qū)動方程代入)將各驅(qū)動方程代入D觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:1111nnQDQnnQDQ0010(CP由由01時此式有效)時此式有效) (Q0由由01時此式有效)時此式有效) (4)作狀態(tài)轉(zhuǎn)換圖、時序圖。)作狀態(tài)轉(zhuǎn)換圖、時序圖。(5 5)邏輯功能分析)邏輯功能分析 由狀態(tài)圖可知:該電路一共有由狀態(tài)圖可知:該電路一共有4個狀態(tài)個狀態(tài)00、01、10、11,在時,在時鐘脈沖作用下,按照減鐘脈沖作用下,按照減1規(guī)律循環(huán)變化,所以是一個規(guī)律循環(huán)變化,所以是一個4進(jìn)制減進(jìn)制減法計數(shù)器,法計數(shù)器,Z是借位信號。是借位信號。Q

9、/0/0/110111000Q/001Z1QCPQ0計數(shù)器計數(shù)器用以統(tǒng)計輸入脈沖用以統(tǒng)計輸入脈沖CPCP個數(shù)的電路。個數(shù)的電路。 6.3 6.3 計數(shù)器計數(shù)器計數(shù)器的分類:計數(shù)器的分類:(2 2)按數(shù)字的增減趨勢可分為加法計數(shù)器、減)按數(shù)字的增減趨勢可分為加法計數(shù)器、減法計數(shù)器和可逆計數(shù)器。法計數(shù)器和可逆計數(shù)器。(1 1)按計數(shù)進(jìn)制可分為二進(jìn)制計數(shù)器和非二進(jìn))按計數(shù)進(jìn)制可分為二進(jìn)制計數(shù)器和非二進(jìn)制計數(shù)器。制計數(shù)器。非二進(jìn)制計數(shù)器中最典型的是十進(jìn)制計數(shù)器。非二進(jìn)制計數(shù)器中最典型的是十進(jìn)制計數(shù)器。(3 3)按計數(shù)器中觸發(fā)器翻轉(zhuǎn)是否與計數(shù)脈沖同)按計數(shù)器中觸發(fā)器翻轉(zhuǎn)是否與計數(shù)脈沖同步分為同步計數(shù)器和

10、異步計數(shù)器。步分為同步計數(shù)器和異步計數(shù)器。 一、二進(jìn)制計數(shù)器一、二進(jìn)制計數(shù)器1 1二進(jìn)制異步計數(shù)器二進(jìn)制異步計數(shù)器 (1 1)二進(jìn)制異步加法計數(shù)器()二進(jìn)制異步加法計數(shù)器(4 4位)位) 工作原理:工作原理: 4個個JK觸發(fā)器都接成觸發(fā)器都接成T觸發(fā)器。觸發(fā)器。 每當(dāng)每當(dāng)Q2由由1變變0,F(xiàn)F3向相反的狀態(tài)翻轉(zhuǎn)一次。向相反的狀態(tài)翻轉(zhuǎn)一次。 每來一個每來一個CP的下降沿時,的下降沿時,F(xiàn)F0向相反的狀態(tài)翻轉(zhuǎn)一次;向相反的狀態(tài)翻轉(zhuǎn)一次; 每當(dāng)每當(dāng)Q0由由1變變0,F(xiàn)F1向相反的狀態(tài)翻轉(zhuǎn)一次;向相反的狀態(tài)翻轉(zhuǎn)一次; 每當(dāng)每當(dāng)Q1由由1變變0,F(xiàn)F2向相反的狀態(tài)翻轉(zhuǎn)一次;向相反的狀態(tài)翻轉(zhuǎn)一次;1J1K

11、C12Q1QCPFF3R1KFF21JC1R1KFF1Q1J0C1RR0FF1JC11KQ31CR計數(shù)脈沖清零脈沖QQQQ用用“觀察法觀察法”作出該電路的時序波形圖和狀態(tài)圖。作出該電路的時序波形圖和狀態(tài)圖。由時序圖可以看出,由時序圖可以看出,Q0 0、Ql、Q2 2、Q3 3的周期分別是計數(shù)脈沖的周期分別是計數(shù)脈沖( (CP) )周周期的期的2 2倍、倍、4 4倍、倍、8 8倍、倍、1616倍,因而計數(shù)器也可作為分頻器。倍,因而計數(shù)器也可作為分頻器。CPQ0Q1Q2Q3(2 2)二進(jìn)制異步減法計數(shù)器)二進(jìn)制異步減法計數(shù)器用用4 4個上升沿觸發(fā)的個上升沿觸發(fā)的D觸發(fā)器組成的觸發(fā)器組成的4 4位異

12、步二進(jìn)制減法計數(shù)器。位異步二進(jìn)制減法計數(shù)器。工作原理工作原理:D觸發(fā)器也都接成觸發(fā)器也都接成T觸發(fā)器觸發(fā)器。 由于是上升沿觸發(fā),則應(yīng)將低位觸發(fā)器的由于是上升沿觸發(fā),則應(yīng)將低位觸發(fā)器的Q端與相鄰高位觸發(fā)器的端與相鄰高位觸發(fā)器的時鐘脈沖輸入端相連,即從時鐘脈沖輸入端相連,即從Q端取借位信號。端取借位信號。 它也同樣具有分頻作用。它也同樣具有分頻作用。C1CPFF31DQ3計數(shù)脈沖QRQ31DQQ22FFC1R2Q1DQQ11FFC1R1Q1DQQ00FFC1R0Q清零脈沖CR二進(jìn)制異步減法計數(shù)器的二進(jìn)制異步減法計數(shù)器的時序波形圖和狀態(tài)圖。時序波形圖和狀態(tài)圖。在異步計數(shù)器中,高位觸發(fā)器的狀態(tài)翻轉(zhuǎn)必須

13、在相鄰觸發(fā)器產(chǎn)生進(jìn)位信號在異步計數(shù)器中,高位觸發(fā)器的狀態(tài)翻轉(zhuǎn)必須在相鄰觸發(fā)器產(chǎn)生進(jìn)位信號(加計數(shù))或借位信號(減計數(shù))之后才能實現(xiàn),所以工作速度較低。(加計數(shù))或借位信號(減計數(shù))之后才能實現(xiàn),所以工作速度較低。為了提高計數(shù)速度,可采用同步計數(shù)器。為了提高計數(shù)速度,可采用同步計數(shù)器。 231 0QQQ Q0000111111101101110010111001101010000111011001010100001100100001CPQ0Q1Q2Q32 2二進(jìn)制同步計數(shù)器二進(jìn)制同步計數(shù)器(1 1)二進(jìn)制同步加法計數(shù)器)二進(jìn)制同步加法計數(shù)器由于該計數(shù)器的翻轉(zhuǎn)規(guī)律性較強,只需用由于該計數(shù)器的翻轉(zhuǎn)規(guī)

14、律性較強,只需用“觀察法觀察法”就可設(shè)計出電路:就可設(shè)計出電路:因為是因為是“同步同步”方式,方式,所以將所有觸發(fā)器的所以將所有觸發(fā)器的CPCP端連在一起,接計端連在一起,接計數(shù)脈沖。數(shù)脈沖。 然后分析狀態(tài)圖,然后分析狀態(tài)圖,選擇適當(dāng)?shù)倪x擇適當(dāng)?shù)腏KJK信號。信號。1KR3FFC1Q1JRFFQC1C12FFC1CP1RQQ0&21KFF&3清零脈沖1JQ&計數(shù)脈沖RQ&1KQ1J11J1KQ0CR分析狀態(tài)圖可見:分析狀態(tài)圖可見:FF0 0:每來一個:每來一個CP,向相反的狀態(tài)翻轉(zhuǎn)一次。所以選向相反的狀態(tài)翻轉(zhuǎn)一次。所以選J0 0= =K0 0=1=1。FF1 1

15、:當(dāng):當(dāng)Q0 0=1=1時,來一個時,來一個CP,向相反的狀態(tài)翻轉(zhuǎn)一次。所以選向相反的狀態(tài)翻轉(zhuǎn)一次。所以選J1 1= =K1 1= = Q0 0 。FF2 2:當(dāng):當(dāng)Q0 0Q1 1=1=1時,時, 來一個來一個CP,向相反的狀態(tài)翻轉(zhuǎn)一次。所以選向相反的狀態(tài)翻轉(zhuǎn)一次。所以選J2 2= =K2 2= = Q0 0Q1 1FF3 3: 當(dāng)當(dāng)Q0 0Q1 1Q3 3=1=1時,時, 來一個來一個CP,向相反的狀態(tài)翻轉(zhuǎn)一次。所向相反的狀態(tài)翻轉(zhuǎn)一次。所以選以選J3 3= =K3 3= = Q0 0Q1 1Q3 31(2 2)二進(jìn)制同步減法計數(shù)器)二進(jìn)制同步減法計數(shù)器分析分析4 4位二進(jìn)制同步減法計數(shù)器的

16、狀態(tài)表,很容易看出,只要將位二進(jìn)制同步減法計數(shù)器的狀態(tài)表,很容易看出,只要將各觸發(fā)器的驅(qū)動方程改為:各觸發(fā)器的驅(qū)動方程改為:將加法計數(shù)器和減法計數(shù)器合并起來,并引入一加將加法計數(shù)器和減法計數(shù)器合并起來,并引入一加/ /減控制信號減控制信號X便構(gòu)成便構(gòu)成4 4位二進(jìn)制同步可逆計數(shù)器,各觸發(fā)器的驅(qū)動方程為:位二進(jìn)制同步可逆計數(shù)器,各觸發(fā)器的驅(qū)動方程為:就構(gòu)成了就構(gòu)成了4 4位二進(jìn)制同步減法計數(shù)器。位二進(jìn)制同步減法計數(shù)器。w(3 3)二進(jìn)制同步可逆計數(shù)器)二進(jìn)制同步可逆計數(shù)器當(dāng)控制信號當(dāng)控制信號X=1時,時,F(xiàn)F1FF3中的各中的各J、K端分別與低位各觸發(fā)端分別與低位各觸發(fā)器的器的Q端相連,作加法計

17、數(shù)。端相連,作加法計數(shù)。作出二進(jìn)制同步可逆計數(shù)器的邏輯圖:作出二進(jìn)制同步可逆計數(shù)器的邏輯圖:當(dāng)控制信號當(dāng)控制信號X=0時,時,F(xiàn)F1FF3中的各中的各J、K端分別與低位各觸發(fā)器的端分別與低位各觸發(fā)器的端相連,作減法計數(shù)。端相連,作減法計數(shù)。實現(xiàn)了可逆計數(shù)器的功能。實現(xiàn)了可逆計數(shù)器的功能。QR02Q11JQCRRQFF清零脈沖FFC10C11K1K計數(shù)脈沖1K1QC12RCPQ1J1FF1J1J1KQR3C1FF3Q&111X 加/減控制信號Q3 3集成二進(jìn)制計數(shù)器舉例集成二進(jìn)制計數(shù)器舉例 (1 1)4 4位二進(jìn)制同步加法計數(shù)器位二進(jìn)制同步加法計數(shù)器7416174161RC1&Q

18、1J1K&13Q&Q&RC11J1K&12Q&Q&RC11J1K&11Q&Q&RC11J1K&10Q0D1&1EPET11D2D3DCPLDRDRCO 異步清零。異步清零。w7416174161具有以下功能:具有以下功能: 計數(shù)。計數(shù)。 同步并行預(yù)置數(shù)。同步并行預(yù)置數(shù)。RCO為進(jìn)位輸出端。為進(jìn)位輸出端。 保持。保持。41235671516CPD0D1D2GNDQ3Q2Q1Vcc74161891011121413RD3DDLEPETQ0RCOQCPQ0Q21Q3LDRDDD0D21D3EPETRCO12131

19、4150120清零異步同步置數(shù)加法計數(shù)保持(2)4位二進(jìn)制同步可逆計數(shù)器位二進(jìn)制同步可逆計數(shù)器74191LD3Q2QD/UENCP0D1D2D3DRCOMAX/MIN1Q0Q7419141235671516Vcc741918910111214133D0Q1GNDD1EN D/UQ3Q2QD2LDMAX/MINRCOCP0D二、非二進(jìn)制計數(shù)器二、非二進(jìn)制計數(shù)器N進(jìn)制計數(shù)器又稱模進(jìn)制計數(shù)器又稱模N計數(shù)器。計數(shù)器。當(dāng)當(dāng)N=2n時,就是前面討論的時,就是前面討論的n位二進(jìn)制計數(shù)器;位二進(jìn)制計數(shù)器;當(dāng)當(dāng)N2n時,為非二進(jìn)制計數(shù)器。非二進(jìn)制計數(shù)時,為非二進(jìn)制計數(shù)器。非二進(jìn)制計數(shù)器中最常用的是十進(jìn)制計數(shù)器。

20、器中最常用的是十進(jìn)制計數(shù)器。1 1 84218421BCD碼同步十進(jìn)制加法計數(shù)器碼同步十進(jìn)制加法計數(shù)器QQ1KR1J2QC10C111JFFRQ計數(shù)脈沖清零脈沖CR0Q1JRFFQ11KC13FF1KRFFC1CP2Q1Q1K1J3&用前面介紹的同步時序邏輯電路分析方法對該電路進(jìn)行分析。用前面介紹的同步時序邏輯電路分析方法對該電路進(jìn)行分析。(1)寫出驅(qū)動方程:)寫出驅(qū)動方程:10J10KnnQQJ031nQK01nnQQJ012nnQQK012nnnQQQJ0123n03QK 然后將各驅(qū)動方程代入然后將各驅(qū)動方程代入JK觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:觸發(fā)器的特性方程,得各觸發(fā)

21、器的次態(tài)方程:(2)轉(zhuǎn)換成次態(tài)方程:)轉(zhuǎn)換成次態(tài)方程: 先寫出先寫出JK觸發(fā)器的特性方程觸發(fā)器的特性方程nnQQJ03110J10KnQK01nnQQJ012nnQQK012nnnQQQJ0123n03QK nnnQKQJQ1nnnnQQKQJQ0000010nnnnnnnnQQQQQQKQJQ10103111111nnnnnnnnnQQQQQQQKQJQ201201222212nnnnnnnnnQQQQQQQKQJQ303012333313(3)作狀態(tài)轉(zhuǎn)換表。)作狀態(tài)轉(zhuǎn)換表。設(shè)初態(tài)為設(shè)初態(tài)為Q3 3Q2 2Q1 1Q0 0=0000=0000,代入次態(tài)方程進(jìn)行計算,代入次態(tài)方程進(jìn)行計算,得狀

22、態(tài)轉(zhuǎn)換表如表得狀態(tài)轉(zhuǎn)換表如表6.3.56.3.5所示。所示。(4 4)作狀態(tài)圖及時序圖。)作狀態(tài)圖及時序圖。2310QQQ Q0000100001000011000100101001010101100111CPQ0Q1Q2Q312345678910(5)檢查電路能否自啟動)檢查電路能否自啟動 用同樣的分析的方法分別求出用同樣的分析的方法分別求出6種無效狀態(tài)下的次態(tài),得到完整的種無效狀態(tài)下的次態(tài),得到完整的狀態(tài)轉(zhuǎn)換圖??梢?,該計數(shù)器能夠自啟動。狀態(tài)轉(zhuǎn)換圖??梢姡撚嫈?shù)器能夠自啟動。 由于電路中有由于電路中有4個觸發(fā)器,它們的狀態(tài)組合共有個觸發(fā)器,它們的狀態(tài)組合共有16種。而在種。而在8421BC

23、D碼計數(shù)器中只用了碼計數(shù)器中只用了10種,稱為有效狀態(tài)。其余種,稱為有效狀態(tài)。其余6種狀態(tài)種狀態(tài)稱為無效狀態(tài)。稱為無效狀態(tài)。當(dāng)由于某種原因,使計數(shù)器進(jìn)入無效狀態(tài)時,如果能在時鐘信號作當(dāng)由于某種原因,使計數(shù)器進(jìn)入無效狀態(tài)時,如果能在時鐘信號作用下,最終進(jìn)入有效狀態(tài),我們就稱該電路具有用下,最終進(jìn)入有效狀態(tài),我們就稱該電路具有自啟動自啟動能力能力。231 0QQQ Q0000100001000011000100101001010101100111101010111101110011111110有效循環(huán)28421BCD碼異步十進(jìn)制加法計數(shù)器碼異步十進(jìn)制加法計數(shù)器CP2 2= =Q1 1 (當(dāng)(當(dāng)FF

24、1 1的的Q1 1由由1010時,時,Q2 2才可能改變狀態(tài)。)才可能改變狀態(tài)。)用前面介紹的異步時序邏輯電路分析方法對該電路進(jìn)行分析:用前面介紹的異步時序邏輯電路分析方法對該電路進(jìn)行分析:(1 1)寫出各邏輯方程式。)寫出各邏輯方程式。 時鐘方程:時鐘方程: CP0 0= =CP (時鐘脈沖源的下降沿觸發(fā)。)(時鐘脈沖源的下降沿觸發(fā)。)CP1 1= =Q0 0 (當(dāng)(當(dāng)FF0 0的的Q0 0由由1010時,時,Q1 1才可能改變狀態(tài)。才可能改變狀態(tài)。) )CP3 3= =Q0 0 (當(dāng)(當(dāng)FF0 0的的Q0 0由由1010時,時,Q3 3才可能改變狀態(tài)才可能改變狀態(tài)) )1J1KC12Q1Q

25、CPFF3R1KFF21JC1R1KFF1Q1J0C1RR0FF1JC11KQ31CR計數(shù)脈沖清零脈沖QQQQ&1J1KC12Q1QCPFF3R1KFF21JC1R1KFF1Q1J0C1RR0FF1JC11KQ31CR計數(shù)脈沖清零脈沖QQQQ&各觸發(fā)器的驅(qū)動方程:各觸發(fā)器的驅(qū)動方程:10J10KnQJ3111K12J12KnnQQJ12313K(2)將各驅(qū)動方程代入)將各驅(qū)動方程代入JK觸發(fā)器的特性方程,得各觸發(fā)觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:器的次態(tài)方程:10J10KnQJ3111K12J12KnnQQJ12313KnnnnQQKQJQ0000010(CP由10時此式

26、有效) nnnnnQQQKQJQ13111111(Q0由10時此式有效) nnnnQQKQJQ2222212(Q1由10時此式有效) nnnnnnQQQQKQJQ312333313(Q0由10時此式有效) (3)作狀態(tài)轉(zhuǎn)換表。)作狀態(tài)轉(zhuǎn)換表。設(shè)初態(tài)為設(shè)初態(tài)為Q3Q2Q1Q0=0000,代入次態(tài)方程進(jìn)行計算,得狀態(tài)轉(zhuǎn)換表。,代入次態(tài)方程進(jìn)行計算,得狀態(tài)轉(zhuǎn)換表。3 3集成十進(jìn)制計數(shù)器舉例集成十進(jìn)制計數(shù)器舉例(1 1)84218421BCD碼同步加法計數(shù)器碼同步加法計數(shù)器74160741603Q2QETCP0D1D2D3DRCO1Q0Q7416041235671516CPD0D1D2GNDQ3Q2Q

27、1Vcc74160891011121413RD3DDLEPETQ0RCOEPRDDL(2 2)二)二五五十進(jìn)制異步加法計數(shù)器十進(jìn)制異步加法計數(shù)器7429074290二進(jìn)制計數(shù)器的時鐘輸入端為二進(jìn)制計數(shù)器的時鐘輸入端為CP1 1,輸出端為,輸出端為Q0 0;五進(jìn)制計數(shù)器的時鐘輸入端為五進(jìn)制計數(shù)器的時鐘輸入端為CP2 2,輸出端為,輸出端為Q1 1、Q2 2、Q3 3。7429074290包含一個獨立的包含一個獨立的1 1位二進(jìn)制計數(shù)器和一個獨立的異步五進(jìn)制計數(shù)器。位二進(jìn)制計數(shù)器和一個獨立的異步五進(jìn)制計數(shù)器。如果將如果將Q0 0與與CP2 2相連,相連,CP1 1作時鐘脈沖輸入端,作時鐘脈沖輸入端

28、,Q0 0Q3 3作輸出端,則作輸出端,則為為84218421BCD碼十進(jìn)制計數(shù)器。碼十進(jìn)制計數(shù)器。RQC1C1RQC11KCPR1K1J1J1J1J1KQ1KRC1Q&SS&3Q0Q1QQ220(1)R0(2)R9(1)R9(2)1CPR 7429074290的功能:的功能: 異步清零。異步清零。 計數(shù)。計數(shù)。 異步置數(shù)(置異步置數(shù)(置9 9)。)。 4123567891011121314GNDVcc74LS2909(1)NC9(2)NC0(1)0(2)21Q3Q0Q1Q2CPCPRRRR三、集成計數(shù)器的應(yīng)用三、集成計數(shù)器的應(yīng)用(1 1)同步級聯(lián)。)同步級聯(lián)。例:用兩片例:用

29、兩片4 4位二進(jìn)制加法計數(shù)器位二進(jìn)制加法計數(shù)器7416174161采用同步級聯(lián)方式構(gòu)成的采用同步級聯(lián)方式構(gòu)成的8 8位位二進(jìn)制同步加法計數(shù)器,模為二進(jìn)制同步加法計數(shù)器,模為161616=25616=256。1 1計數(shù)器的級聯(lián)計數(shù)器的級聯(lián)3Q2QETCP0D1D2D3DRCO1Q0Q74161(1)EPRDDLD13DD3DCPQ Q00RCO74161(2)L21ETQDQR2DEP111計數(shù)脈沖清零脈沖0132Q Q Q Q4576Q Q Q Q(2 2)異步級聯(lián))異步級聯(lián) 例:用兩片例:用兩片74191采用異步級聯(lián)方式構(gòu)成采用異步級聯(lián)方式構(gòu)成8位二進(jìn)制位二進(jìn)制異步可逆計數(shù)器。異步可逆計數(shù)器

30、。LD3Q2QD/UENCP0D1D2D3DRCOMAX/MIN1Q0Q74191(2)LD3Q2QD/UENCP0D1D2D3DRCOMAX/MIN1Q0Q74191(1)計數(shù)脈沖D/UENL0132Q Q Q QQ6Q7Q4Q5D(3)用計數(shù)器的輸出端作進(jìn)位)用計數(shù)器的輸出端作進(jìn)位/借位端借位端有的集成計數(shù)器沒有進(jìn)位有的集成計數(shù)器沒有進(jìn)位/借位輸出端,這時可根據(jù)具體情況,借位輸出端,這時可根據(jù)具體情況,用計數(shù)器的輸出信號用計數(shù)器的輸出信號Q3、Q2、Q1、Q0產(chǎn)生一個進(jìn)位產(chǎn)生一個進(jìn)位/借位。借位。例:如用兩片例:如用兩片74290采用異步級聯(lián)方式組成的二位采用異步級聯(lián)方式組成的二位8421

31、BCD碼十進(jìn)碼十進(jìn)制加法計數(shù)器。制加法計數(shù)器。 模為模為1010=1003Q2Q1Q0Q74290(1)CP1CP2R0(2)R0(1)R9(1)9(2)RQ0Q12QQ374290(2)CP1CP20(2)RR0(1)9(1)RR9(2)計數(shù)脈沖置數(shù)脈沖清零脈沖個位輸出十位輸出01Q2QQ3Q01Q2QQ3Q2 2組成任意進(jìn)制計數(shù)器組成任意進(jìn)制計數(shù)器(1)異步清零法)異步清零法 異步清零法適用于具有異步清零端的集成計數(shù)器。異步清零法適用于具有異步清零端的集成計數(shù)器。例:用集成計數(shù)器例:用集成計數(shù)器74160和與非門組成的和與非門組成的6進(jìn)制計數(shù)器。進(jìn)制計數(shù)器。QDQ1074160Q32Q3D

32、ETQ10Q211CPLD31DQEPQ計數(shù)脈沖RCO20DRD&Q0Q0000Q00010100001100102100101100101100010111Q3EWB舉例舉例(2)同步清零法)同步清零法同步清零法適用于具有同步清零端的集成計數(shù)器。同步清零法適用于具有同步清零端的集成計數(shù)器。例:用集成計數(shù)器例:用集成計數(shù)器74163和與非門組成的和與非門組成的6進(jìn)制計數(shù)器。進(jìn)制計數(shù)器。QDRETEP74163DRCO33QD211QL010QDCPDD1計數(shù)脈沖2&0132Q Q Q Q3Q0010000000011Q0001Q1Q010020101EWB舉例舉例(3)異步預(yù)置

33、數(shù)法)異步預(yù)置數(shù)法異步預(yù)置數(shù)法適用于具有異步預(yù)置端的集成計數(shù)器。異步預(yù)置數(shù)法適用于具有異步預(yù)置端的集成計數(shù)器。例:用集成計數(shù)器例:用集成計數(shù)器74191和與非門組成的余和與非門組成的余3碼碼10進(jìn)制計數(shù)器。進(jìn)制計數(shù)器。LD3Q2QD/UENCP0D1D2D3DRCOMAX/MIN1Q0Q7419100計數(shù)脈沖&Q30QQ21Q1100011001101001101002Q11011QQQ3010101111001011010001010(4)同步預(yù)置數(shù)法)同步預(yù)置數(shù)法同步預(yù)置數(shù)法適用于具有同步預(yù)置端的集成計數(shù)器。同步預(yù)置數(shù)法適用于具有同步預(yù)置端的集成計數(shù)器。例:用集成計數(shù)器例:用集成計

34、數(shù)器74160和與非門組成的和與非門組成的7進(jìn)制計數(shù)器。進(jìn)制計數(shù)器。QDRETEP74160DRCO33QD211QL010QDCPDD1計數(shù)脈沖200111Q30QQ21Q3Q0101000110111Q0100Q1Q1000210010110EWB舉例舉例例例6.3.16.3.1 用用7416074160組成組成4848進(jìn)制計數(shù)器。進(jìn)制計數(shù)器。先將兩芯片采用同步級聯(lián)方式連接成先將兩芯片采用同步級聯(lián)方式連接成100100進(jìn)制計數(shù)器,進(jìn)制計數(shù)器, 然后再用異步清零法組成了然后再用異步清零法組成了4848進(jìn)制計數(shù)器。進(jìn)制計數(shù)器。解:解:因為因為N4848,而,而7416074160為模為模101

35、0計數(shù)器,所以要用兩片計數(shù)器,所以要用兩片7416074160構(gòu)成構(gòu)成此計數(shù)器。此計數(shù)器。3Q2QETCP0D1D2D3DRCO1Q0Q74160(1)EPRDDLD13DD3DCPQ Q00RCO74160(2)L21ETQDQR2DEP1計數(shù)脈沖&113 3組成分頻器組成分頻器前面提到,模前面提到,模N計數(shù)器進(jìn)位輸出端輸出脈沖的頻率是輸入脈沖頻計數(shù)器進(jìn)位輸出端輸出脈沖的頻率是輸入脈沖頻率的率的1/1/N,因此可用模,因此可用模N計數(shù)器組成計數(shù)器組成N分頻器。分頻器。解:解: 因為因為32768=232768=21515,經(jīng),經(jīng)1515級二分頻,就可獲得頻率為級二分頻,就可獲得頻率為

36、1 1Hz的脈沖的脈沖信號。因此將四片信號。因此將四片7416174161級聯(lián),從高位片(級聯(lián),從高位片(4 4)的)的Q2 2輸出即可。輸出即可。例例6.3.26.3.2 某石英晶體振蕩器輸出脈沖信號的頻率為某石英晶體振蕩器輸出脈沖信號的頻率為3276832768Hz,用,用7416174161組成分頻器,將其分頻為頻率為組成分頻器,將其分頻為頻率為1 1Hz的脈沖信號。的脈沖信號。D13DD3DCPQ Q00RCO74161(4)L21ETQDQR2DEP1RRCO0CP0D3DDD1QQETQ3DQEPL1D1274161(3)2QCP332Q1EP74161(2)D0D2DQD10QD

37、RETLRCOD3RQ1DQDCP0EPD1L2D1RCO3ETDQD074161(1)2Q111111ff=1Hz=32768Hz4 4組成序列信號發(fā)生器組成序列信號發(fā)生器序列信號序列信號在時鐘脈沖作用下產(chǎn)生的一串周期性的二進(jìn)制信號在時鐘脈沖作用下產(chǎn)生的一串周期性的二進(jìn)制信號。例:用例:用74161及門電路構(gòu)成序列信號發(fā)生器。及門電路構(gòu)成序列信號發(fā)生器。其中其中74161與與G1構(gòu)成了一個模構(gòu)成了一個模5計數(shù)器。計數(shù)器。 ,因此,這是一個,因此,這是一個01010序列信號發(fā)生器,序列長度序列信號發(fā)生器,序列長度P=5。 例例6.3.3 試用計數(shù)器試用計數(shù)器74161和數(shù)據(jù)選擇器設(shè)計一個和數(shù)據(jù)

38、選擇器設(shè)計一個01100011序列發(fā)生器。序列發(fā)生器。 解:解:由于序列長度由于序列長度P=8,故將,故將74161構(gòu)成模構(gòu)成模8計數(shù)器,并選用數(shù)據(jù)選擇計數(shù)器,并選用數(shù)據(jù)選擇器器74151產(chǎn)生所需序列,從而得電路如圖產(chǎn)生所需序列,從而得電路如圖6.3.31所示。所示。5組成脈沖分配器組成脈沖分配器74161DD32DDLQQRDQ01301CPCP1ET2EPD1RCOQ1000Y22BA2AY1G1YA474138YYGGY0Y315YA7612Y60Y235YY14YYY7YCPQ0Q1Q20Y1Y2Y3Y4Y5Y6Y7Y6.4 6.4 數(shù)碼寄存器與移位寄存器數(shù)碼寄存器與移位寄存器集成數(shù)碼

39、寄存器集成數(shù)碼寄存器74LSl75 :一、一、 數(shù)碼寄存器數(shù)碼寄存器數(shù)碼寄存器數(shù)碼寄存器存儲二進(jìn)制數(shù)碼的時序電路組件存儲二進(jìn)制數(shù)碼的時序電路組件1DRC1FFQ01DRC1QQR1DC1QRC11D0Q0Q1FFQ11Q2FFQ22Q3FFQ33Q1CPDD3012DD1DR7474LS175175的功能的功能: :RD是異步清零控制端。是異步清零控制端。D0D3是并行數(shù)據(jù)輸入端,是并行數(shù)據(jù)輸入端,CP為時鐘脈沖端。為時鐘脈沖端。Q0Q3是并行數(shù)據(jù)輸出端。是并行數(shù)據(jù)輸出端。二、移位寄存器二、移位寄存器 移位寄存器移位寄存器不但可以寄存數(shù)碼,而且在移位脈沖作用不但可以寄存數(shù)碼,而且在移位脈沖作用

40、下,寄存器中的數(shù)碼可根據(jù)需要向左或向右移動下,寄存器中的數(shù)碼可根據(jù)需要向左或向右移動1 1位。位。1 1單向移位寄存器單向移位寄存器 (1 1)右移寄存器()右移寄存器(D觸發(fā)器組成的觸發(fā)器組成的4 4位右移寄存器)位右移寄存器)右移寄存器的結(jié)構(gòu)特點:右移寄存器的結(jié)構(gòu)特點:左邊觸發(fā)器的輸出端接右鄰觸發(fā)器的輸入端。左邊觸發(fā)器的輸出端接右鄰觸發(fā)器的輸入端。QRC11D1DC1RQ1DC1RQ1DQRC1Q0Q1Q2Q3CPCRID串行輸入串行輸出D0D1D20FF1FF2FF3FF并 行 輸 出D3設(shè)移位寄存器的初始狀態(tài)為設(shè)移位寄存器的初始狀態(tài)為0000,串行輸入數(shù)碼,串行輸入數(shù)碼DI=1101,

41、從高位,從高位到低位依次輸入。其到低位依次輸入。其狀態(tài)表如下:狀態(tài)表如下:QRC11D1DC1RQ1DC1RQ1DQRC1Q0Q1Q2Q3CPCRID串行輸入串行輸出D0D1D20FF1FF2FF3FF并 行 輸 出D3右移寄存器的時序圖:右移寄存器的時序圖: 由于右移寄存器移位的方向為由于右移寄存器移位的方向為DIQ0 0Q1 1Q2 2Q3 3,即由低位向,即由低位向高位移,所以又稱為高位移,所以又稱為上移寄存器上移寄存器。在在4 4個移位脈沖作用下,輸入的個移位脈沖作用下,輸入的4 4位串行數(shù)碼位串行數(shù)碼11011101全部存入了寄存器中。全部存入了寄存器中。這種輸入方式稱為這種輸入方式

42、稱為串行輸入方式串行輸入方式。CPQ0Q1Q21234567893QID1110(2 2)左移寄存器)左移寄存器 2 2 雙向移位寄存器雙向移位寄存器 將右移寄存器和左移寄存器組合起來,并引入一控制端將右移寄存器和左移寄存器組合起來,并引入一控制端S便構(gòu)成便構(gòu)成既可左移又可右移的雙向移位寄存器。既可左移又可右移的雙向移位寄存器。左移寄存器的結(jié)構(gòu)特點:左移寄存器的結(jié)構(gòu)特點:右邊觸發(fā)器的輸出端接左鄰觸發(fā)器的輸入端。右邊觸發(fā)器的輸出端接左鄰觸發(fā)器的輸入端。1DC1RQ1DQRC1Q1D1DC1C1RQRCPCRD01DFF0FF1FF23FF20并 行 輸 出3QQ1QQID串行輸入串行輸出2D3D

43、當(dāng)當(dāng)S=1時,時,D0=DSR、D1=Q0、D2=Q1、D3=Q2,實現(xiàn)右移操作;,實現(xiàn)右移操作;其中,其中,DSR為右移串行輸入端,為右移串行輸入端,DSL為左移串行輸入端。為左移串行輸入端。當(dāng)當(dāng)S=0時,時,D0=Q1、D1=Q2、D2=Q3、D3=DSL,實現(xiàn)左移操作。,實現(xiàn)左移操作。RFF1DC13Q&1R1DC12FFQ&1R1DC11FFQ&1FF&C1R01DQ1111QQQQ1302CPCR串行輸入SLD(左移)串行輸入DSR(右移)串行輸出DOR(右移)串行輸出DOL(左移)移位控制SS=1:右移S=0:左移并 行 輸 出三、集成移位寄存器三、

44、集成移位寄存器741947419474194為四位雙向移位寄存器。為四位雙向移位寄存器。Q0和和Q3分別是左移和右移時的串行輸出端,分別是左移和右移時的串行輸出端,Q0、Q1、Q2和和Q3為為并行輸出端。并行輸出端。DSL 和和DSR分別是左移和右移串行輸入。分別是左移和右移串行輸入。D0、D1、D2 2和和D3是并行輸是并行輸入端。入端。0Q1QS3D2D1D0D2Q3Q7419441235671516D0D1D2GNDQ3Q2Q1Vcc74194891011121413RD3D0SQ0SRDCPSLSR01SRSLS1CPDDDD74194的功能表:的功能表:四、移位寄存器構(gòu)成的移位型計數(shù)

45、器四、移位寄存器構(gòu)成的移位型計數(shù)器 1. 環(huán)形計數(shù)器環(huán)形計數(shù)器 環(huán)形計數(shù)器的特點:環(huán)形計數(shù)器的特點: 電路簡單,電路簡單,N位移位寄存器可以計位移位寄存器可以計N個數(shù),實現(xiàn)模個數(shù),實現(xiàn)模N計數(shù)器。狀態(tài)計數(shù)器。狀態(tài)為為1的輸出端的序號等于計數(shù)脈沖的個數(shù),通常不需要譯碼電路。的輸出端的序號等于計數(shù)脈沖的個數(shù),通常不需要譯碼電路。0Q1QS3D2D1D0D2Q3Q74194SRDCPDSLSRD01111000START0Q31000Q0100Q2Q0010100012扭環(huán)形計數(shù)器扭環(huán)形計數(shù)器為了增加有效計數(shù)狀態(tài),擴(kuò)大計數(shù)器的模,可用扭環(huán)形計數(shù)器。為了增加有效計數(shù)狀態(tài),擴(kuò)大計數(shù)器的模,可用扭環(huán)形計數(shù)

46、器。一般來說,一般來說,N位移位寄存器可以組成模位移位寄存器可以組成模2N的扭環(huán)形計數(shù)器,只需將的扭環(huán)形計數(shù)器,只需將末級輸出反相后,接到串行輸入端。末級輸出反相后,接到串行輸入端。QD1SR013SQQSSLD74194DRDDCPQ02D1D32010清零Q100000012QQ00000300111Q11000111111011116.5 6.5 同步時序邏輯電路的設(shè)計方法同步時序邏輯電路的設(shè)計方法一、同步時序邏輯電路的設(shè)計方法一、同步時序邏輯電路的設(shè)計方法1 1同步時序邏輯電路的設(shè)計步驟同步時序邏輯電路的設(shè)計步驟(3 3)狀態(tài)分配,又稱狀態(tài)編碼。即把一組適當(dāng)?shù)亩M(jìn)制代碼分配給)狀態(tài)分配

47、,又稱狀態(tài)編碼。即把一組適當(dāng)?shù)亩M(jìn)制代碼分配給簡化狀態(tài)圖(表)中各個狀態(tài)。簡化狀態(tài)圖(表)中各個狀態(tài)。(1 1)根據(jù)設(shè)計要求,設(shè)定狀態(tài),導(dǎo)出對應(yīng)狀態(tài)圖或狀態(tài)表。)根據(jù)設(shè)計要求,設(shè)定狀態(tài),導(dǎo)出對應(yīng)狀態(tài)圖或狀態(tài)表。(2 2)狀態(tài)化簡。消去多余的狀態(tài),得簡化狀態(tài)圖(表)。)狀態(tài)化簡。消去多余的狀態(tài),得簡化狀態(tài)圖(表)。(4 4)選擇觸發(fā)器的類型。)選擇觸發(fā)器的類型。(5 5)根據(jù)編碼狀態(tài)表以及所采用的觸發(fā)器的邏輯功能,導(dǎo)出待設(shè)計)根據(jù)編碼狀態(tài)表以及所采用的觸發(fā)器的邏輯功能,導(dǎo)出待設(shè)計電路的輸出方程和驅(qū)動方程。電路的輸出方程和驅(qū)動方程。(6 6)根據(jù)輸出方程和驅(qū)動方程畫出邏輯圖。)根據(jù)輸出方程和驅(qū)動方

48、程畫出邏輯圖。(7 7)檢查電路能否自啟動。檢查電路能否自啟動。2 2同步計數(shù)器的設(shè)計舉例同步計數(shù)器的設(shè)計舉例例例6.5.16.5.1 設(shè)計一個同步設(shè)計一個同步5 5進(jìn)制加法計數(shù)器進(jìn)制加法計數(shù)器(2 2)狀態(tài)分配,列狀態(tài)轉(zhuǎn)換編碼表。)狀態(tài)分配,列狀態(tài)轉(zhuǎn)換編碼表。(1(1)根據(jù)設(shè)計要求,設(shè)定狀態(tài),)根據(jù)設(shè)計要求,設(shè)定狀態(tài),畫出狀態(tài)轉(zhuǎn)換圖。該狀態(tài)圖不須化簡。畫出狀態(tài)轉(zhuǎn)換圖。該狀態(tài)圖不須化簡。S0S1S2S3S4(3 3)選擇觸發(fā)器。選用)選擇觸發(fā)器。選用JK觸發(fā)器。觸發(fā)器。(4 4)求各觸發(fā)器的驅(qū)動方程和進(jìn)位輸出方程。)求各觸發(fā)器的驅(qū)動方程和進(jìn)位輸出方程。 列出列出JK觸發(fā)器的驅(qū)動表觸發(fā)器的驅(qū)動表

49、,畫出電路的次態(tài)卡諾圖。,畫出電路的次態(tài)卡諾圖。Q Q10n n2Qn1000011110001010100011000根據(jù)次態(tài)卡諾圖和根據(jù)次態(tài)卡諾圖和JK觸發(fā)器的驅(qū)動表可得各觸發(fā)器的驅(qū)動卡諾圖:觸發(fā)器的驅(qū)動表可得各觸發(fā)器的驅(qū)動卡諾圖:Qn1Q0n2Qn10J200QnnQ1 02=J0001111010nQ12nQ Qn02KK =2001011010111Q Q10n n2Qn1000011110001010100011000Q Q10n n2Qn1000011110001010100011000nQ112nQ Qn01J0nQ0J =10010110101011000211n0QQ1nQ

50、00n1K1100n=Q1KnQ12nQ Qn00J0010110101011000211n0QQ1nQ0n0K1111012n=Q0JK0=1再畫出輸出卡諾圖再畫出輸出卡諾圖 可得電路的輸出方程:可得電路的輸出方程:(5) 將各驅(qū)動方程與輸出方程歸將各驅(qū)動方程與輸出方程歸納如下:納如下:(6 6)畫邏輯圖。)畫邏輯圖。100111YQ01QQ0nn1n20010000QC1C1Q1K1J1J1J1K1KC1Q&2Q0QQ1CPY進(jìn)位輸出利用邏輯分析的方法畫出電路完整的狀態(tài)圖。利用邏輯分析的方法畫出電路完整的狀態(tài)圖。(7)檢查能否自啟動)檢查能否自啟動可見,如果電路進(jìn)入無效狀態(tài)可見,如

51、果電路進(jìn)入無效狀態(tài)101、110、111時,在時,在CP脈沖作用下,脈沖作用下,分別進(jìn)入有效狀態(tài)分別進(jìn)入有效狀態(tài)010、010、000。所以電路能夠自啟動。所以電路能夠自啟動。0QQ1Q2/Y000001010011100/0/0/0/0/1/1101/1110111/13一般時序邏輯電路的設(shè)計舉例一般時序邏輯電路的設(shè)計舉例典型的時序邏輯電路具有外部輸入變量典型的時序邏輯電路具有外部輸入變量X,所以設(shè)計,所以設(shè)計過程要復(fù)雜一些。過程要復(fù)雜一些。S0 0初始狀態(tài)或沒有收到初始狀態(tài)或沒有收到1 1時的狀態(tài);時的狀態(tài); 例例6.5.26.5.2 設(shè)計一個串行數(shù)據(jù)檢測器。該檢測器有一個輸入端設(shè)計一個串

52、行數(shù)據(jù)檢測器。該檢測器有一個輸入端X,它的功能是對輸入信號進(jìn)行檢測。當(dāng)連續(xù)輸入三個它的功能是對輸入信號進(jìn)行檢測。當(dāng)連續(xù)輸入三個1 1(以及三個(以及三個以上以上1 1)時,該電路輸出)時,該電路輸出Y=1=1,否則輸出,否則輸出Y=0=0。 解:解: (1 1)根據(jù)設(shè)計要求,設(shè)定狀態(tài))根據(jù)設(shè)計要求,設(shè)定狀態(tài): ::S2 2連續(xù)收到兩個連續(xù)收到兩個1 1后的狀態(tài);后的狀態(tài);S1 1收到一個收到一個1 1后的狀態(tài);后的狀態(tài);S3 3連續(xù)收到三個連續(xù)收到三個1 1(以及三個以上(以及三個以上1 1)后的狀態(tài)。)后的狀態(tài)。 (3 3)狀態(tài)化簡。)狀態(tài)化簡。 觀察上圖觀察上圖可知,可知,S2和和S3是是

53、等價狀態(tài),所以將等價狀態(tài),所以將S2和和S3合并,并用合并,并用S2表示,得簡表示,得簡化狀態(tài)圖化狀態(tài)圖:(2 2)根據(jù)題意可畫出)根據(jù)題意可畫出原始狀態(tài)圖:原始狀態(tài)圖:S0S1S2S3X/YS0/00/00/01/01/01/11/10/0S2SS10X/YS0/00/01/01/00/01/1 (4 4)狀態(tài)分配。)狀態(tài)分配。 該電路有該電路有3個狀態(tài),可以用個狀態(tài),可以用2位二進(jìn)制代碼組合(位二進(jìn)制代碼組合(00、01、10、11)中的中的 三個代碼表示。本例取三個代碼表示。本例取S0=00、S1=01、S2=11。(5 5)選擇觸發(fā)器。)選擇觸發(fā)器。 本例選用本例選用2 2個個D觸發(fā)器

54、。觸發(fā)器。1/00/01/00/00/0X/Y1/1Q1Q0000111圖6.5.9 例6.5.2編碼后的狀態(tài)圖(6 6)求出狀態(tài)方程、驅(qū)動方程和輸出方程)求出狀態(tài)方程、驅(qū)動方程和輸出方程。列出列出D觸發(fā)器的驅(qū)動表、觸發(fā)器的驅(qū)動表、畫出電路的次態(tài)和輸出卡諾圖。畫出電路的次態(tài)和輸出卡諾圖。由輸出卡諾圖可得電路的輸出方程:由輸出卡諾圖可得電路的輸出方程:根據(jù)次態(tài)卡諾圖和根據(jù)次態(tài)卡諾圖和D觸發(fā)器的驅(qū)動表可得各觸發(fā)器的驅(qū)動卡諾圖:觸發(fā)器的驅(qū)動表可得各觸發(fā)器的驅(qū)動卡諾圖:由各由各驅(qū)動卡諾圖可得電路的驅(qū)動方程:驅(qū)動卡諾圖可得電路的驅(qū)動方程:0Q0n1011000D0D=0100n1Q111QnX011X1Q110010X0n010010Q0nD01110D =X(7 7)畫邏輯圖。)畫邏輯圖。根據(jù)驅(qū)動方程和輸出方程,畫出邏輯圖根據(jù)驅(qū)動方程和輸出方程,畫出邏輯圖。(8)檢查能否自啟動。)檢查能否自啟動。0/01/0100/001Q1/10/0111/11/01X/Y0000/0QQ0C11DQC11DQX&CPQ1Y&二、異步時序邏輯電路的設(shè)計方法二、異步時序邏輯電路的設(shè)計方法 異步時序電路的設(shè)計異步時序電路的設(shè)計

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論