半導(dǎo)體行業(yè):硬核科技代表半導(dǎo)體設(shè)備重裝上陣_第1頁(yè)
半導(dǎo)體行業(yè):硬核科技代表半導(dǎo)體設(shè)備重裝上陣_第2頁(yè)
半導(dǎo)體行業(yè):硬核科技代表半導(dǎo)體設(shè)備重裝上陣_第3頁(yè)
半導(dǎo)體行業(yè):硬核科技代表半導(dǎo)體設(shè)備重裝上陣_第4頁(yè)
半導(dǎo)體行業(yè):硬核科技代表半導(dǎo)體設(shè)備重裝上陣_第5頁(yè)
已閱讀5頁(yè),還剩21頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、G國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究?jī)?nèi)容目錄 TOC o 1-5 h z HYPERLINK l bookmark43 o Current Document .投資邏輯:行業(yè)自身成長(zhǎng)+中國(guó)廠商進(jìn)口替代 4 HYPERLINK l bookmark45 o Current Document 投資邏輯之一:行業(yè)自身成長(zhǎng)4.1.集成電路設(shè)備成長(zhǎng)動(dòng)力:先進(jìn)制程+新晶圓廠投產(chǎn) 4LED芯片行業(yè)設(shè)備成長(zhǎng)動(dòng)力:LED芯片應(yīng)用擴(kuò)大+行業(yè)生產(chǎn)效率提升6投資邏輯之二:中國(guó)廠商進(jìn)口替代空間廣闊 8 HYPERLINK l bookmark0 o Current Document 投資邏輯之三

2、:科創(chuàng)板主打硬核科技,半導(dǎo)體設(shè)備公司直接受益 10 HYPERLINK l bookmark2 o Current Document .半導(dǎo)體主流設(shè)備分析10半導(dǎo)體制造相關(guān)設(shè)備101,晶圓清洗(waferCleaning) 102氧化 (Oxidation) 11.薄膜沉積(Film Deposition) 占晶圓制造20%11.曝光(Exposure) 占晶圓制造20%125顯影 (Development) 12.刻蝕(Etch)占晶圓制造環(huán)節(jié)25%137離子)主入 (Ion Implantation) 13.化學(xué)機(jī)械拋光(Chemical Mechanical Polisher) 13 H

3、YPERLINK l bookmark8 o Current Document 工藝檢測(cè)與封測(cè)相關(guān)設(shè)備14.探針檢測(cè)(wafer Probe) 14.切割機(jī)(Dicing) 14.鍵合(Bonding) 14.測(cè)試機(jī)14 HYPERLINK l bookmark10 o Current Document 3.行業(yè)內(nèi)重點(diǎn)公司簡(jiǎn)析15 HYPERLINK l bookmark12 o Current Document 31中微半導(dǎo)體硬核科技的代表15 HYPERLINK l bookmark22 o Current Document 32北方華創(chuàng)A股半導(dǎo)體設(shè)備公司稀缺標(biāo)的 16 HYPERLINK

4、 l bookmark28 o Current Document 3.3長(zhǎng)川科技1734上海微電子19 HYPERLINK l bookmark36 o Current Document 推薦標(biāo)的21 HYPERLINK l bookmark38 o Current Document 風(fēng)險(xiǎn)提示21圖表目錄圖表1:半導(dǎo)體設(shè)備產(chǎn)業(yè)鏈4圖表2:半導(dǎo)體設(shè)備產(chǎn)值4圖表3:近十年半導(dǎo)體先進(jìn)制程4圖表4:光刻機(jī)開展路徑5圖表5:2017-2020新建晶圓廠分布 5圖表6:半導(dǎo)體設(shè)備銷售額區(qū)域分拆6圖表7:LED芯片的產(chǎn)值(億)7行業(yè)深度研究G國(guó)金證券SINOLINK SECURITIES圖表17:中國(guó)半導(dǎo)體

5、市占率圖表18:中國(guó)半導(dǎo)體自給率9%OOZOOZ來(lái)源:semi國(guó)金證券研究所來(lái)源:semi國(guó)金證券研究所投資邏輯之三:科創(chuàng)板主打硬核科技,半導(dǎo)體設(shè)備公司直接受益科創(chuàng)板是落實(shí)創(chuàng)新驅(qū)動(dòng)和科技強(qiáng)國(guó)戰(zhàn)略、推動(dòng)高質(zhì)量開展的重大改革舉措,重 點(diǎn)支持半導(dǎo)體、新一代信息技術(shù)、高端裝備、新能源、節(jié)能環(huán)保以及生物醫(yī)藥 等高新技術(shù)產(chǎn)業(yè)和戰(zhàn)略性新興產(chǎn)業(yè),推動(dòng)互聯(lián)網(wǎng)、大數(shù)據(jù)、云計(jì)算、人工智能 和制造業(yè)深度融合。半導(dǎo)體設(shè)備作為硬核科技的代表,長(zhǎng)期來(lái)看公司的開展離不開資本市場(chǎng)的支持, 短期也對(duì)板塊的估值有提升效應(yīng)。2.半導(dǎo)體主流設(shè)備分析下面我們將選擇制造環(huán)節(jié)主流設(shè)備中我國(guó)公司局部實(shí)現(xiàn)進(jìn)口替代或有望實(shí)現(xiàn)進(jìn) 口 替代加以分析。

6、半導(dǎo)體制造相關(guān)設(shè)備圖表19:集成電路制造流程與設(shè)備占比圖表19:集成電路制造流程與設(shè)備占比半導(dǎo)體制造環(huán)節(jié)的設(shè)備種類繁多,綜合了物理、化學(xué)、工程、材料等一系列學(xué) 科,難度很高。下列圖為半導(dǎo)體制造主要流程:.晶圓清洗(wafer Cleaning)作用:晶圓上極小的灰塵也會(huì)影響集成電路的功能。故此在正式制造芯片之前 與芯片制造過(guò)程中,需要去除的污染主要包括顆粒、化學(xué)殘留物等。涉及到的 有物理清洗(超聲震動(dòng)、刷洗等)與化學(xué)清洗(清洗液)法。未來(lái)開展趨勢(shì):制造芯片過(guò)程中清洗晶圓是重要的步驟,一般來(lái)說(shuō)清洗步驟占 全部工藝的30%o隨著先進(jìn)制程的推進(jìn),需要清洗的步驟越來(lái)越多,比方 20nm的DRAM工藝需

7、要多達(dá)200個(gè)清洗步驟。3D芯片也會(huì)帶動(dòng)清洗設(shè)備需 求。隨著3D芯片越來(lái)越多,比方3D NAND存儲(chǔ)器,新的芯片架構(gòu)會(huì)對(duì)清洗 設(shè)備有更高的要求。并且隨著12寸硅片的普及,硅片清洗要求越來(lái)越高,工 藝復(fù)雜度也越大。清洗直接影響良率,良率對(duì)于晶圓廠利潤(rùn)影響很大。為了提 高良率,清洗步躲數(shù)量需要提高。例如對(duì)于10萬(wàn)片月產(chǎn)能的DRAM廠,每一-10-行業(yè)深度研究G國(guó)金證券SINOLINK SECURITIES個(gè)百分點(diǎn)的良率會(huì)影響每年3000萬(wàn)5000萬(wàn)美金的凈利潤(rùn)。圖表20:半導(dǎo)體制程對(duì)于清洗設(shè)備的需求Wafer Die YieldWafer Die Yield1008GO4420Technology

8、 Node, nm來(lái)源:盛美半導(dǎo)體,國(guó)金證券研究所設(shè)備:槽式清洗機(jī)(比例逐步減少)、單圓片清洗機(jī)(逐步代替槽式清洗機(jī))國(guó)外廠商:迪恩士(日)、東京電子(日)、Lam Research (美)。以上三家市占 率超過(guò)70%o中國(guó)廠商:盛美半導(dǎo)體。.氧化(Oxidation)作用:在晶圓上生成一層薄二氧化物層(二氧化硅),用于絕緣或者后續(xù)離子注 入。硅材料在形成二氧化硅的過(guò)程中有天生的優(yōu)勢(shì),這也是硅能大面積用于芯 片材料的一個(gè)原因。目前我國(guó)設(shè)備主要用于小于150mm的低端制造領(lǐng)域,300mm的產(chǎn)線主要依賴 進(jìn)口。國(guó)外廠商:東京電子、日立國(guó)際中國(guó)廠商:北方華創(chuàng)、中電科48所。.薄膜沉積(FilmDep

9、osition)占晶圓制造20%作用:使某些特定材料以一層薄膜的形式附著于襯底的過(guò)程叫做薄膜沉積。可 分為物理氣相沉積(PVD,利用蒸發(fā)或者離子轟擊濺射形成薄膜)與 化學(xué)氣相 沉積(CVD,通過(guò)各種反響氣體進(jìn)行化學(xué)反響形成薄膜)。MOCVD系統(tǒng)最關(guān)鍵 的問(wèn)題就是保證材料生長(zhǎng)的均勻性和重復(fù)性。薄膜沉積是半導(dǎo)體制造的重點(diǎn)設(shè)備,設(shè)備復(fù)雜度高,使用率高。一臺(tái)先進(jìn)的薄 膜 沉積設(shè)備售價(jià)可達(dá)千萬(wàn)人民幣以上。行業(yè)開展趨勢(shì):薄膜沉積設(shè)備的創(chuàng)新伴隨著半導(dǎo)體制程的開展。由于不同線寬 工藝的改進(jìn),薄膜沉積設(shè)備也在不斷更新。例如,在亞微米時(shí)代,主要采用低 壓化學(xué)氣相沉積;到了 90rlm時(shí)代, 等離子氣相沉積逐步得到

10、應(yīng)用;28nm及 以下時(shí)代,HKMG (High K Metal Gate)工藝逐步普及,線寬變小,薄膜變的 更薄,原子層沉積(Atomic Layer Dpostion)開始普及。2018年全球薄膜沉積市場(chǎng)規(guī)模約為120億美金,未來(lái)5年有望以CAGR=10% 的速度增長(zhǎng)c PVD領(lǐng)域,應(yīng)用材料市占率超過(guò)70%,優(yōu)勢(shì)明顯;CVD領(lǐng)域, 東京電子占據(jù)月38%的市場(chǎng)份額,后面依次是應(yīng)用材料與拉姆。中國(guó)廠商在金屬有機(jī)化學(xué)氣相沉積設(shè)備(MOCVD, Metal Organic Chemical Vapor Deposition)領(lǐng)域有所突破。MOCVD 主要用于III-V 族(GaAs、GaN) 化合

11、物半導(dǎo)體材料,故此在光電子領(lǐng)域有較多應(yīng)用。作為生產(chǎn)LED芯片的關(guān)鍵 設(shè)備,MOCVD設(shè)備市場(chǎng)一直為歐美企業(yè)所壟斷,我國(guó)廠商中微半導(dǎo)體在 MOCVD上自主研發(fā),突破國(guó)外技術(shù)封鎖,估計(jì)中微半導(dǎo)體2018年藍(lán)綠光 MOCVD出貨量占比超過(guò)60%o-11 -國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究應(yīng)用:邏輯電路、存儲(chǔ)器、先進(jìn)封裝、LED、微機(jī)電系統(tǒng)MEMS、功率半導(dǎo)體、 平板顯示等。國(guó)外廠商:應(yīng)用材料(美)、LAM research (美)、ASML (荷)、東京電子 (日)、Aixtron (德)、Veeco (美)中國(guó)廠商:中微半導(dǎo)體、北方華創(chuàng)、沈陽(yáng)拓荊、中晟光電.曝光(Expo

12、sure) 占晶圓制造20%作用:使用特定波長(zhǎng)的光(例如極紫外光)對(duì)覆蓋襯底的光刻膠進(jìn)行選擇性地 照射。光刻膠中的感光劑會(huì)發(fā)生光化學(xué)反響,從而使被照射區(qū)域(感光區(qū)域) 化學(xué)成分發(fā)生變化。這些化學(xué)成分發(fā)生變化的區(qū)域,在下一步的能夠溶解于特 定的顯影液中。設(shè)備:光刻機(jī)行業(yè)開展趨勢(shì):光刻IC制造環(huán)節(jié)核心工藝,也是技術(shù)難度最高的一步。衡量光 刻機(jī)的參數(shù)主要有分辨率和產(chǎn)出率。光刻機(jī)的開展歷史也就是就集成電路制程 的開展史。整體行業(yè)市場(chǎng)規(guī)模預(yù)計(jì)120億美金,在最新光刻機(jī)市場(chǎng)中,ASML 一家獨(dú)大,其他廠商逐步落伍,中國(guó)廠商在這方面技術(shù)儲(chǔ)藏較弱,暫時(shí)沒法進(jìn) 入先進(jìn)制程領(lǐng)域。接觸式光刻機(jī):20世紀(jì)60年代開始

13、應(yīng)用,用于微米級(jí)制程投影光刻機(jī):20世紀(jì)60年代開始逐步代替接觸式光刻機(jī)。步進(jìn)掃描光刻機(jī):20世紀(jì)90年代開始應(yīng)用,一直沿用至今。其中浸沒 式光刻機(jī)以及極紫外光刻機(jī)(EUV)也是步進(jìn)式光刻機(jī)的一種。浸沒式光刻機(jī):應(yīng)用于45nm以主要下工藝,即在鏡頭與晶圓中間充滿 液體,提升成像系統(tǒng)有效數(shù)值孔徑。極紫外光刻機(jī):主要應(yīng)用于7nm以下制程,采用10-14nm極紫外光作 為曝光光源。是最先進(jìn)的光刻機(jī),單價(jià)超過(guò)1億歐元,目前只有ASML 公司提供,年出貨量約20臺(tái)左右。圖表21:光刻機(jī)市場(chǎng)份額來(lái)源:Gartner國(guó)金證券研究所國(guó)外廠商:ASML (荷)、尼康(日)中國(guó)廠商:上海微電子.顯影(Develo

14、pment)作用:在曝光過(guò)程結(jié)束后加入顯影液,上一步被紫外光照射的區(qū)域會(huì)溶解于顯-12-G國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究影液中。這一步完成后,光刻膠層中的圖形就可以顯現(xiàn)出來(lái)。主要需要顯影液。.刻蝕(Etch) 占晶圓制造環(huán)節(jié)25%作用:用物理或者化學(xué)方法腐蝕處理掉上一步中暴露的區(qū)域。主要分為干法刻 蝕與是濕法刻蝕兩種。干法刻蝕:一般指用等離子體轟擊介質(zhì)外表進(jìn)行刻蝕,故又稱為等離子體刻蝕。按照被刻蝕材料的種類分為硅刻蝕、金屬刻蝕、和電解質(zhì)刻蝕。濕法刻蝕:指用化學(xué)液體進(jìn)行刻蝕,例如氫氟酸。設(shè)備:刻蝕機(jī)行業(yè)開展趨勢(shì):由于集成電路架構(gòu)越來(lái)越復(fù)雜,對(duì)于刻蝕工藝的需求越來(lái)越高。

15、 故此刻蝕是各種設(shè)備中用途極為廣泛,并且復(fù)雜度較高的設(shè)備。主要以美國(guó)、日 本廠商設(shè)備為主。主要應(yīng)用為邏輯電路、3D nand先進(jìn)封裝(硅通孔TSV)O由 于精度的關(guān)系,干法刻蝕逐步代替濕法刻蝕。干法刻蝕目前占設(shè)備總銷售額 的比 重約為20%o2018年目前刻蝕設(shè)備市場(chǎng)規(guī)模行業(yè)現(xiàn)在約為155億美金,集成電路復(fù)雜度逐 步提高,預(yù)計(jì)未來(lái)5年刻蝕的市場(chǎng)增速將超過(guò)半導(dǎo)體設(shè)備平均增速,或?qū)⒌竭_(dá) 15%o刻蝕領(lǐng)域最新設(shè)備為原子層刻蝕(ALE, Atomic Level Etch),即用于去除超薄 層的刻蝕技術(shù),主要拉動(dòng)力來(lái)源于芯片小型化以及3D芯片結(jié)構(gòu)的需求。圖表22:刻蝕設(shè)備市占率2016圖表23:原子層

16、刻蝕市場(chǎng)趨勢(shì)來(lái)源:Gartner VLSI Research國(guó)金i正謀研究所來(lái)源:Gartner VLSI Research國(guó)金i正謀研究所ALD system market210.0BCY14 CY15 CYF16 CY17 CY18 CY19 CYf20來(lái)源:東京電子國(guó)金證券研究所國(guó)外廠商:Lam Research (美)、東京電子(日)、Hitachi(日)中國(guó)廠商:中微半導(dǎo)體(介質(zhì)刻蝕機(jī)、硅通孔刻蝕機(jī))、北方華創(chuàng)(硅刻蝕機(jī)).離子注入(Ion Implantation)作用:用具有一定能量的離子高速轟擊硅襯底并注入,使得襯底具有半導(dǎo)體特 性。行業(yè)概況:目前主要依賴進(jìn)口設(shè)備:離子注入機(jī)國(guó)

17、外廠商:SPIRE (美)、AEA (英)等中國(guó)廠商:中電科48所、中信科電子裝備集團(tuán).化學(xué)機(jī)械拋光(Chemical Mechanical Polisher)作用:利用研磨液與研磨墊來(lái)拋光晶圓外表。-13-G國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究行業(yè)概況:國(guó)外廠商處于壟斷地位,美國(guó)ASML公司約占60%市場(chǎng)份額。設(shè)備 較為復(fù)雜,一般來(lái)說(shuō)一臺(tái)CMP售價(jià)約300萬(wàn)-400萬(wàn)美金。國(guó)外廠商:應(yīng)用材料(美)、Ebara (日)等中國(guó)廠商:天津華海清科、中電45所工藝檢測(cè)與封測(cè)相關(guān)設(shè)備晶圓制造完工后,將進(jìn)入工藝檢測(cè)設(shè)與封裝測(cè)試環(huán)節(jié)。工藝檢測(cè)行業(yè)趨勢(shì):工藝檢測(cè)設(shè)備是保證芯片良率的關(guān)鍵

18、。芯片架構(gòu)的復(fù)雜度提 升以及3D芯片結(jié)構(gòu)的根本性變化,對(duì)工藝檢測(cè)設(shè)備提出了更高的要求。估計(jì)工 藝檢測(cè)設(shè)備占前端設(shè)備的10%左右,絕大局部市場(chǎng)被國(guó)外公司壟斷。涉及到的主 要設(shè)備有: 電子顯微鏡、薄膜檢測(cè)、晶圓缺陷檢測(cè)、X射線檢測(cè)、應(yīng)力監(jiān)測(cè)后端封測(cè)行業(yè)趨勢(shì):相對(duì)于前端制造環(huán)節(jié),后端封測(cè)設(shè)備復(fù)雜度略低,下列圖為半 導(dǎo)體封測(cè)主要流程,主要分為探針檢測(cè)、切割、芯片鍵合、引線鍵合、塑封、測(cè) 試等主要步驟。圖表24:集成電路封測(cè)主要型上來(lái)源:國(guó)金證券研究所9,探針檢測(cè)(wafer Probe)作用:利用探針測(cè)試臺(tái)與探針測(cè)試卡來(lái)測(cè)試晶圓上每一個(gè)芯片,以測(cè)試芯片的 電氣特性。一般包括探針測(cè)試臺(tái),探針測(cè)試機(jī),探針

19、測(cè)試卡三局部。.切割機(jī)(Dicing)作用:把晶圓切割成一粒粒的芯片。行業(yè)概況:切割機(jī)主要分為兩種,金剛石砂輪切割機(jī)與激光切割機(jī)。除了集成 電路行業(yè),切割機(jī)還廣泛應(yīng)用于LED、面板、光伏電池等行業(yè)。在這個(gè)領(lǐng)域國(guó) 外廠商占領(lǐng)了絕大局部市場(chǎng)份額。國(guó)外廠商:Disco (日)、東京精密(日)中國(guó)廠商:金剛石切害U機(jī)(中電科裝備集團(tuán)、中電45所)、激光切割機(jī)(大族激 光、華工激光).鍵合(Bonding)作用:鍵合主要有芯片鍵合和引線鍵合兩種。這兩種設(shè)備在封裝廠屬于比擬常 用的設(shè)備,大多數(shù)封裝工藝均會(huì)采用這兩種設(shè)備。在這個(gè)領(lǐng)域國(guó)外廠商幾乎占 領(lǐng)了全部市場(chǎng)份額。芯片鍵合(Die Bonding):把裸片

20、鍵合在基板(substrate)上,做后續(xù)封裝。引線鍵合(Wire Bonding):用導(dǎo)線連接芯片與封裝引腳。芯片鍵合國(guó)外廠商:ASM (美)、BESI (荷蘭)。引線鍵合國(guó)外廠商:ASM、 K&So中國(guó)廠商:暫無(wú).測(cè)試機(jī)作用:對(duì)芯片施加輸入信號(hào),測(cè)試芯片功能和性能的有效性。行業(yè)概況:隨著集成電路參數(shù)工程越來(lái)越多,對(duì)測(cè)試本錢的要求越來(lái)越高,因此, 市場(chǎng)對(duì)測(cè)試機(jī)功能模塊的需求、測(cè)試速度與測(cè)試精度要求越來(lái)越高(微伏、微安 級(jí)精度)。一般來(lái)說(shuō),在設(shè)計(jì)驗(yàn)證和成品測(cè)試環(huán)節(jié),測(cè)試機(jī)需要和分選機(jī)配合使 用;在晶圓檢測(cè)環(huán)節(jié),測(cè)試機(jī)需要和探針臺(tái)配合使用。在我國(guó)集成電路產(chǎn)業(yè)鏈結(jié)-14-國(guó)金證券SINOLINK

21、SECURITIES國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究構(gòu)中,封裝測(cè)試環(huán)節(jié)占比最高,對(duì)測(cè)試機(jī)和分選機(jī)的需求量較大,但設(shè)備主要依 賴進(jìn)口。國(guó)外廠商:泰瑞達(dá)(Teradyne)(美)、愛德萬(wàn)(Advantest)(日)、科利登 (Xcerra)(美)中國(guó)廠商:長(zhǎng)川科技3.行業(yè)內(nèi)重點(diǎn)公司簡(jiǎn)析中微半導(dǎo)體硬核科技的代表中微半導(dǎo)體設(shè)備(上海)成立于2004年8月,主營(yíng)業(yè)務(wù)為半導(dǎo)體制 造設(shè)備,預(yù)計(jì)將于2019年在科創(chuàng)板上市。我們認(rèn)為中微半導(dǎo)體是為數(shù)不多的 可以在集成電路設(shè)備細(xì)分領(lǐng)域和國(guó)外一流公司同臺(tái)競(jìng)爭(zhēng)的公司,全球超過(guò)1100 個(gè)專利,是國(guó)產(chǎn)硬核科技公司的代表。核心競(jìng)爭(zhēng)力:優(yōu)秀的管理團(tuán)

22、隊(duì)+核心技術(shù)自主可控優(yōu)秀的管理團(tuán)隊(duì)。公司的董事長(zhǎng)兼總裁、創(chuàng)始人尹志堯博士在硅谷有20多年 的行業(yè)及經(jīng)驗(yàn)積累,尹志堯博士曾在應(yīng)用材料公司任職13年,曾擔(dān)任公司副 總裁、等離子體刻蝕設(shè)備產(chǎn)品事業(yè)群總經(jīng)理、亞洲區(qū)采購(gòu)副總裁、應(yīng)用材料亞 洲首席技術(shù)官等。公司核心創(chuàng)始團(tuán)隊(duì)也有硅谷海外工作經(jīng)驗(yàn)。中微半導(dǎo)體憑借 技術(shù)研發(fā)實(shí)力,不斷研發(fā)新的產(chǎn)品,并逐步占領(lǐng)市場(chǎng)。在這背后,優(yōu)秀的管理 團(tuán)隊(duì)是公司能夠持:續(xù)不斷推出新產(chǎn)品的原因。核心團(tuán)隊(duì)成員還包括:杜志游博士:現(xiàn)任中微資深副總裁,主導(dǎo)制定了所有工程運(yùn)營(yíng)流程,包 括公司生產(chǎn)運(yùn)營(yíng)策略、全球物料運(yùn)營(yíng)基礎(chǔ)設(shè)施、信息技術(shù)系統(tǒng)和ERP(企業(yè)資源計(jì)劃)工程執(zhí)行等。加入中微之前,

23、杜志游博士曾擔(dān)任梅特 勒-托利多儀器(上海)總經(jīng)理、寶鋼普萊克斯實(shí)用氣體有限 公司總經(jīng)理。朱新萍:副總裁暨大中華事業(yè)群總經(jīng)理。加入中微之前,他曾擔(dān)任臺(tái)灣 應(yīng)用材料公司(Applied Materials)高級(jí)經(jīng)理一職。之前他曾在華邦電 子(Winbond)和臺(tái)灣世大集成電路(WSMC)工作,主要負(fù)責(zé)程序開 發(fā)和產(chǎn)量提高。陳偉文:中微首席財(cái)務(wù)官。在加入中微公司之前,陳偉文先生在一家納 斯達(dá)克上市公司、同時(shí)也是世界上最大的太陽(yáng)能公司之阿特斯太陽(yáng)能任首席財(cái)務(wù)官。倪圖強(qiáng)博士:倪圖強(qiáng)博士在中微擔(dān)任副總裁暨刻蝕設(shè)備產(chǎn)品事業(yè)群副總 經(jīng)理。他主要領(lǐng)導(dǎo)用于高端電介質(zhì)刻蝕的Primo D-RIE和Primo AD

24、RIE 設(shè)備的研發(fā)和工程管理。加入中微之前,他曾在Lam Research公 司新產(chǎn)品部門擔(dān)任主要技術(shù)專家,并是Lam2300系列刻蝕產(chǎn)品的創(chuàng)造 者之一。核心技術(shù)自主可控。公司成立之初就有合作的律師事務(wù)所專注IP信息收集與保護(hù)。 與美國(guó)應(yīng)用材料官司和解、與Lam公司在臺(tái)灣官司勝訴、與Veeco官司 和解等, 這些有關(guān)知識(shí)產(chǎn)權(quán)的國(guó)際訴訟無(wú)一失敗的前提是扎實(shí)的自主知識(shí)產(chǎn)權(quán)。美國(guó)政府于2015年將刻蝕設(shè)備從出口管制清單里刪除就是因?yàn)锳MEC能夠生 產(chǎn)出具有自主知識(shí)產(chǎn)權(quán)的刻蝕設(shè)備,并進(jìn)入國(guó)際一流晶圓廠。2017年美國(guó) PCAST (美國(guó)總統(tǒng)科學(xué)技術(shù)咨詢委員會(huì))給總統(tǒng)的報(bào)告里面提到的唯一一家中 國(guó)公司是

25、中微半導(dǎo)體。公司主打產(chǎn)品有:刻蝕機(jī):中微的刻蝕設(shè)備是半導(dǎo)體制造前端多用的介質(zhì)等離子體刻蝕與 硅刻蝕。等離子體刻蝕機(jī)已經(jīng)全面進(jìn)入亞洲先進(jìn)主流生產(chǎn)線,用以加工 65納米,40納米以及28納米以下制程的半導(dǎo)體器件。MOCVD:公司已經(jīng)成功研發(fā)MOCVD并進(jìn)入上游LED核心廠商,-15-國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究2018年一季度累計(jì)出貨量已經(jīng)到達(dá)100腔。我們預(yù)計(jì)公司2018年在 藍(lán)綠光MOCVD的出貨量超過(guò)60%o下游客戶:臺(tái)積電、中芯國(guó)際等一流晶圓廠投資邏輯:半導(dǎo)體設(shè)備投資期限需長(zhǎng)久:1)半導(dǎo)體制造設(shè)備是技術(shù)難度最高的技術(shù)設(shè)備。縱觀國(guó)外一流設(shè)備大廠,很多 是伴隨半導(dǎo)

26、體行業(yè)開展而成長(zhǎng)起來(lái),自身歷史超過(guò)50年以上,例如應(yīng)用材料 成立于1967年,ASML成立于1984年。中微半導(dǎo)體作為后起之秀能批量進(jìn)入 臺(tái)積電一流產(chǎn)線,實(shí)屬不易。2)半導(dǎo)體行業(yè)本身周期性明顯期有波動(dòng),作為產(chǎn)業(yè)鏈一環(huán)的設(shè)備廠也必然遵循 周期屬性。但半導(dǎo)體制造設(shè)備行業(yè)門檻較高,公司護(hù)城河較寬,我們?nèi)哉J(rèn)為中 微半導(dǎo)體憑借優(yōu)秀的管理團(tuán)隊(duì)+自主核心技術(shù),具有成長(zhǎng)為世界巨頭級(jí)公司的 潛力,長(zhǎng)期看好。北方華創(chuàng)A股半導(dǎo)體設(shè)備公司稀缺標(biāo)的 公司成立于2001年,總部位于北京,是一家以電子工藝裝備和電子元器件為主 營(yíng)業(yè)務(wù)的高科技企業(yè),由七星電子和北方微電子戰(zhàn)略重組而成,是目前國(guó)內(nèi)集成 電路高端工藝裝備的領(lǐng)先企業(yè)

27、。股權(quán)結(jié)構(gòu):公司目前最大股東為北京七星華電科技集團(tuán),持股占比為 38.90%,北京國(guó)資委為實(shí)際控制人。圖表26:公司財(cái)務(wù)數(shù)據(jù)圖表25: 2017主營(yíng)業(yè)務(wù)分拆來(lái)源:同花順國(guó)金證券研究所2,500.000.002,000.0040.001,500.0030.001,000.0020.00500.0010.00201620172018H3營(yíng)業(yè)總收入(百萬(wàn)元)一毛利率來(lái)源:同花順、國(guó)金證券研究所50.000.00凈利率公司目前已形成半導(dǎo)體裝備、真空裝備、新能源鋰電裝備和高精密電子元器件 四大業(yè)務(wù)板塊,其中半導(dǎo)體設(shè)備已經(jīng)占據(jù)了一半以上的收入到達(dá)57%,毛利潤(rùn) 占比也接近50%o-16-行業(yè)深度研究行業(yè)深

28、度研究G國(guó)金證券SINOLINK SECURITIES圖表27: 2017年北方華創(chuàng)主營(yíng)業(yè)務(wù)情況表營(yíng)業(yè)總收入(百萬(wàn)元)營(yíng)業(yè)收入占比毛利率毛利潤(rùn)(百萬(wàn)元)*毛利潤(rùn)占比半導(dǎo)體設(shè)備1,133.8551.5935. 37 %;、嵌/::401.0249.51電子元件762. 9034. 7143. 72 *;丁丁333.5141.17真空設(shè)備200. 849. 1427. 97 3:56. 176. 93鋰電設(shè)備100. 424. 5719. 23 %19.312. 38來(lái)源:同花順、國(guó)金證券研究所核心看點(diǎn):受益于半導(dǎo)體設(shè)備國(guó)產(chǎn)化機(jī)遇中國(guó)本土晶圓廠的興建對(duì)公司提供了開展機(jī)遇。公司IC設(shè)備主要客戶為中芯

29、國(guó) 際、華虹半導(dǎo)體等國(guó)內(nèi)IC制造公司,新興晶圓廠如合肥長(zhǎng)鑫、長(zhǎng)江存儲(chǔ)等亦能 增加公司成長(zhǎng)動(dòng)能,公司未來(lái)直接受益半導(dǎo)體國(guó)產(chǎn)化浪潮。公司目前主要設(shè)備有:刻蝕機(jī)、物理氣相沉積(PVD)、化學(xué)氣相沉積(CVD)、氧化爐、擴(kuò) 散爐、清洗機(jī)及氣體流量計(jì)(MFC)等7大類半導(dǎo)體設(shè)備及零部件,在集成電路領(lǐng)域,由公司自主研發(fā)的14nm等離子硅刻蝕機(jī)、單片退火 系統(tǒng)、LPCVD已成功進(jìn)入集成電路主流代工廠;28nm Hardmask PVD、ALPadPVD設(shè)備已率先進(jìn)入國(guó)際供應(yīng)鏈體系;12英寸清洗機(jī)累 計(jì)流片量已突破60萬(wàn)片大關(guān)。在先進(jìn)封裝領(lǐng)域,刻蝕機(jī)和PVD設(shè)備、TSV刻蝕設(shè)備已在全球主要先 進(jìn)封裝企業(yè)中得到

30、了廣泛應(yīng)用。在LED領(lǐng)域,ELEDE系列刻蝕機(jī)自2010年面市以來(lái)銷售量已經(jīng)超過(guò) 兩百余臺(tái),其中氮化鎮(zhèn)刻蝕機(jī)在20142016連續(xù)三年新增市場(chǎng)占有率 到達(dá)80%以上,出貨量遙遙領(lǐng)先;PSS刻蝕機(jī)一直是全球主流PSS廠 家的主要機(jī)臺(tái),目前為止國(guó)內(nèi)規(guī)模超卓的中圖半導(dǎo)體公司已累計(jì)采購(gòu)該 機(jī)型近百臺(tái),對(duì)市場(chǎng)起到了有力的表率作用;在技術(shù)上一舉打破了多年 來(lái)本土設(shè)備商只能處于追隨狀態(tài)的堅(jiān)冰局面!而同樣面向LED芯片制 造的EPEE550系列PECVD自推向市場(chǎng)以來(lái),一直保持著新增市場(chǎng)占 有率80%以上的市場(chǎng)業(yè)績(jī),穩(wěn)居市場(chǎng)前沿。MEMS及新興市場(chǎng),深硅刻蝕設(shè)備客戶安裝量超過(guò)百臺(tái)以上。在光伏制造領(lǐng)域,負(fù)壓擴(kuò)散

31、爐目前已成為市場(chǎng)主流產(chǎn)品;晶硅電池線的 大局部關(guān)鍵生產(chǎn)設(shè)備如在線濕法刻蝕機(jī)、槽式單晶制絨機(jī)、臥式擴(kuò)散爐、 PECVD等設(shè)備均已實(shí)現(xiàn)了進(jìn)口替代。在平板顯示領(lǐng)域,公司是國(guó)內(nèi)TFT-LCD生產(chǎn)線的骨干設(shè)備供應(yīng)商,多 種產(chǎn)品在客戶G5至G10.5各個(gè)世代TFT-LCD生產(chǎn)線及OLED生產(chǎn)線 上批量應(yīng)用;CELL段的ODF工藝紫外固化爐UV Cure以及Cutting 工藝的Grind Cleaner等設(shè)備均在各世代生產(chǎn)線占據(jù)重要份額。長(zhǎng)川科技公司成立于2008年,總部位于杭州。主要從事集成電路專用設(shè)備的研發(fā)、生產(chǎn) 和銷售,主要包括集成電路測(cè)試機(jī)和分選機(jī)。股權(quán)結(jié)構(gòu):公司目前最大股東為趙 軼,持股占比為2

32、8.26%,為實(shí)際控制人。-17-國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究圖表29:公司財(cái)務(wù)數(shù)據(jù)圖表28: 2017主營(yíng)業(yè)務(wù)分拆來(lái)源:同花順國(guó)金證券研究所200.0070.00150.00100.0050.000.0060.0050.0040.0030.0020.0010.000.00營(yíng)業(yè)總收入(百萬(wàn)元)毛利率11凈利率來(lái)源:同花順、國(guó)金證券研究所公司以自主研發(fā)為主,目前的產(chǎn)品主要有兩種,測(cè)試機(jī)和分選機(jī),其中測(cè)試機(jī) 占據(jù)一半以上的收入到達(dá)57.96%O圖表30: 2017年長(zhǎng)川科技主營(yíng)業(yè)務(wù)情況表營(yíng)業(yè)收入(百萬(wàn)元)營(yíng)業(yè)收入占比毛利率毛利潤(rùn)X百萬(wàn)元)毛利潤(rùn)占比測(cè)試機(jī)77. 664

33、4. 8576. 66 % :-產(chǎn)59.5460. 36分選機(jī)95.4855. 15/40:95*39. 1039. 64來(lái)源:同花順、國(guó)金證券研究所核心看點(diǎn):受益于中國(guó)廠商在封測(cè)領(lǐng)域市場(chǎng)份額的提升公司主營(yíng)在后端封測(cè)領(lǐng)域,受益于全球封測(cè)向亞洲轉(zhuǎn)移趨勢(shì)。目前公司下游客 戶 主要為三大封測(cè)廠,雖然在測(cè)試機(jī)方面以國(guó)外廠商主導(dǎo),公司有逐步提高市占 率的趨勢(shì)。中國(guó)廠商在封測(cè)領(lǐng)域較為成熟。在半導(dǎo)體產(chǎn)業(yè)鏈中,設(shè)計(jì)制造 封測(cè),中國(guó)公司在封測(cè)領(lǐng)域的市占率最高,按營(yíng)收排列,前十家封測(cè)公司 中國(guó)占三家,分別為長(zhǎng)電科技、通富微電、華天科技。中國(guó)本土封測(cè)領(lǐng)域成長(zhǎng) 大于全球平均成長(zhǎng)。公司主要客戶:華天科技、長(zhǎng)電科技、通富

34、微電、士蘭微產(chǎn)品分析:測(cè)試機(jī):由于集成電路參數(shù)工程越來(lái)越多,如電壓、電流、時(shí)間、溫度、電阻、 電容、頻率、脈寬、占空比等,對(duì)測(cè)試機(jī)功能模塊的需求越來(lái)越多;客戶對(duì)集 成電路測(cè)試精度要求越來(lái)越高(微伏、微安級(jí)精度),如對(duì)測(cè)試機(jī)鉗位精度要求 從1%提升至0.25%、時(shí)間測(cè)量精度提高到微秒級(jí),對(duì)測(cè)試機(jī)測(cè)試精度要求越趨 嚴(yán)格;隨著集成電路應(yīng)用越趨于廣泛,需求量越來(lái)越大,對(duì)測(cè)試本錢要 求越來(lái) 越高,因此對(duì)測(cè)試機(jī)的測(cè)試速度要求越來(lái)越高(如源的響應(yīng)速度要求到達(dá)微秒 級(jí));集成電路產(chǎn)品門類的增加,要求測(cè)試設(shè)備具備通用化軟件開發(fā)平 臺(tái),方 便客戶進(jìn)行二次應(yīng)用程序開發(fā),以適應(yīng)不同產(chǎn)品的測(cè)試需求。分選機(jī):由于集成電路

35、的小型化和集成化特征,分選機(jī)對(duì)自動(dòng)化高速重復(fù)定位 控制能力和測(cè)壓精度要求較高,誤差精度普遍要求在0.01mm等級(jí);分選機(jī) 的批量自動(dòng)化作業(yè)要求其具備較強(qiáng)的運(yùn)行穩(wěn)定性,例如對(duì)UPH (每小時(shí)運(yùn)送集 成電路數(shù)量)和Jam Rate (故障停機(jī)比率)的要求很高;集成電路封裝形式 的多樣性要求分選機(jī)具備對(duì)不同封裝形式集成電路進(jìn)行測(cè)試時(shí)能夠快速切換的 能力,從而形成較強(qiáng)的柔性化生產(chǎn)能力及適應(yīng)性;集成電路測(cè)試對(duì)外部測(cè)試 環(huán)境有一定要求,例如局部集成電路測(cè)試要求在-55150的多種溫度測(cè)試環(huán) 境、無(wú)磁場(chǎng)干擾測(cè)試環(huán)境、多種外場(chǎng)疊加的測(cè)試環(huán)境中進(jìn)行,如何給定相應(yīng)的-18-2-G國(guó)金證券SINOLINK SECU

36、RITIES行業(yè)深度研究圖表31:測(cè)試機(jī)洌U試環(huán)境是分選機(jī)技術(shù)難點(diǎn)。圖表32:分選機(jī)產(chǎn)品類別圖示應(yīng)用領(lǐng)域產(chǎn)品類別圖示應(yīng)用領(lǐng)域大功率測(cè)試機(jī)用于各類MOS管、三極管、 二極管、IGBT等功率器件的 電參數(shù)性能測(cè)試。模擬,數(shù)?;旌蠝y(cè)試機(jī)用于各類模擬集成電路(運(yùn) 放、功放、電源管理、驅(qū)動(dòng) 電路等)和數(shù)?;旌项惣?電路(數(shù)字IC、ADDA等) 的電參數(shù)性能測(cè)試。采取管到管或管到卷 帶進(jìn)出料方式,適用 SOP/TSSOPSSOPHS OP QSOP DIP TO 等 封裝外型集成電路的 自動(dòng)分選。采取盤到盤或盤到卷 帶進(jìn)出料方式,適用 QFP QFN LQFP/PLC C SOP/TSOP BGA PG

37、 A/LGA等封裝外型集 成電路的自動(dòng)分選。來(lái)源:公司公告國(guó)金證券研究所來(lái)源:公司公告國(guó)金證券研究所上海微電子上海微電子裝備(集團(tuán))股份(簡(jiǎn)稱SMEE)主要致力于半導(dǎo)體裝備、泛半 導(dǎo)體裝備、高端智能裝備的開發(fā)與制造。公司設(shè)備廣泛應(yīng)用于集成電路前道、 先進(jìn)封裝、FPD面板、MEMS、LED、Power Devices等制造領(lǐng)域。核心看點(diǎn):公司是大陸唯一量產(chǎn)步進(jìn)式光刻機(jī)的廠商,雖然與國(guó)外巨頭ASML的產(chǎn)品在先 進(jìn)制程有差距,但開展?jié)摿薮?,有望在某些非關(guān)鍵節(jié)點(diǎn)逐步提高市場(chǎng)占有率。2013.08圖表33:公司開展歷璀國(guó)產(chǎn)首臺(tái) 用于2.5代 AM-OLEDTFT電路制 造的 SSB225/1 0砌頰

38、用戶。該 產(chǎn)品被國(guó) 家科技部 評(píng)為“201 侔 度國(guó)家重 點(diǎn)新產(chǎn) =“I a來(lái)源:公司官網(wǎng)、國(guó)金證券研究所-19-行業(yè)深度研究行業(yè)深度研究G國(guó)金證券SINOLINK SECURITIES圖表34:公司主要產(chǎn)品硅片邊緣曝光設(shè)備IC領(lǐng)域平板顯示LED/MEMS/功率器 件光刻機(jī)特殊應(yīng)用600系列光機(jī)200至列海!機(jī)300至列涉!機(jī)600至列光S11機(jī)激光退火設(shè)備品園亢準(zhǔn)/凝臺(tái)設(shè)管500系列朦血激光封裝設(shè)音激光退火設(shè)苗500系列朦!1機(jī)激光封裝設(shè)苗半導(dǎo)俅產(chǎn)融運(yùn)機(jī)器 人品園缺陷自動(dòng)檢測(cè)設(shè) 省光函向設(shè)備品園對(duì)準(zhǔn)/融臺(tái)設(shè)備300至列光機(jī)光配向設(shè)備畦頹源跟光設(shè)備空導(dǎo)出產(chǎn)融運(yùn)機(jī)器 人長(zhǎng)爾測(cè)室殳音200系列汝!

39、機(jī)長(zhǎng)短、測(cè)量設(shè)備品園花徹罐合設(shè)備晶園缺陷白動(dòng)檢測(cè)設(shè)來(lái)源:公司官網(wǎng)、國(guó)金證券研究所-20-行業(yè)深度研究G國(guó)金證券SINOLINK SECURITIES.推薦標(biāo)的標(biāo)的我們推薦關(guān)注即將在科創(chuàng)板掛牌的中微半導(dǎo)體與A股半導(dǎo)體制造設(shè)備龍頭 北方華創(chuàng),同時(shí)建議關(guān)注設(shè)備細(xì)分子領(lǐng)域封測(cè)商供應(yīng)商長(zhǎng)川科技。圖表 35:2019 3 5 EJ)來(lái)源:同花順一致性預(yù)期 國(guó)金證券研究所公司營(yíng)業(yè)收入(YoY )百萬(wàn)元/%歸母凈利潤(rùn)(YoY )百萬(wàn)元/%P/E20172018E2019E2020E20172018E2019E2020ETTM2018E2019E北方華創(chuàng) (002371 ,SZ)2,222.82 (+37.01

40、%)3,220.44(+44.88%)4,517.67(+40.28%)6,165.36(+36.47%)125.61 (+35.21% )240.00 (+91.07%)379.69 (+58.20% )546.86 (+44.03% )130.0997.0961.42長(zhǎng)川科技 (300604.SZ)179.79 (+44.84%)284.92(+58.47%)415.05 (+45.67%)603.87(+45.49%)50.25 (+21.32% )70.79(+40.88%)I 12.40(+58.78%)160.59 (+42.87% )64.1462.2441.70.風(fēng)險(xiǎn)提示半導(dǎo)體

41、整體需求不及預(yù)期半導(dǎo)體設(shè)備國(guó)產(chǎn)化程度不及預(yù)期相關(guān)公司估值過(guò)高-21 -歡迎關(guān)注公眾號(hào)六微信搜一搜Q冷眼看A股|報(bào)告存在問(wèn)題或需求其他報(bào)告可加微信文檔出現(xiàn)排版、亂碼等問(wèn)題,可加上面微信,憑 下載記錄,獲取PDF版本,G國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究 TOC o 1-5 h z 圖表8: MOCVD保有量和新增數(shù)量7圖表9: LED芯片本錢7圖表10:LED燈泡價(jià)格7圖表11:MoCVD設(shè)備生產(chǎn)效率比照7圖表 12:MiniLED 與 MicroLED區(qū)別8圖表13:Micro LED工藝流程8圖表14:世界主流廠商與中國(guó)廠商財(cái)務(wù)數(shù)據(jù)比照 8圖表15:晶圓廠市占率9圖表

42、16:世界前五大設(shè)備廠研發(fā)費(fèi)用(億美金) 9圖表17:中國(guó)半導(dǎo)體市占率10圖表18:中國(guó)半導(dǎo)體自給率10圖表19:集成電路制造流程與設(shè)備占比 10圖表20:半導(dǎo)體制程對(duì)于清洗設(shè)備的需求 11圖表21:光刻機(jī)市場(chǎng)份額12圖表22:刻蝕設(shè)備市占率201613圖表23:原子層刻蝕市場(chǎng)趨勢(shì) 13圖表24:集成電路封測(cè)主要流程14圖表25:2017主營(yíng)業(yè)務(wù)分拆16圖表26:公司財(cái)務(wù)數(shù)據(jù)16圖表27:2017年北方華創(chuàng)主營(yíng)業(yè)務(wù)情況表 17圖表28:2017主營(yíng)業(yè)務(wù)分拆18圖表29:公司財(cái)務(wù)數(shù)據(jù)18圖表30:2017年長(zhǎng)川科技主營(yíng)業(yè)務(wù)情況表18圖表31:測(cè)試機(jī)19 HYPERLINK l bookmark3

43、2 o Current Document 圖表32:分選機(jī)19圖表33:公司開展歷程19圖表34:公司主要產(chǎn)品20圖表35:盈利預(yù)測(cè)(股價(jià)截止到2019年3月5日)21-3-國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究.投資邏輯:行業(yè)自身成長(zhǎng)+中國(guó)廠商進(jìn)口替代半導(dǎo)體設(shè)備行業(yè)處于半導(dǎo)體行業(yè)中上游,屬于芯片制造廠和封測(cè)廠的供應(yīng)商。 整體行業(yè)景氣度伴隨著半導(dǎo)體周期而波動(dòng),雖然周期性比擬明顯,但如果從拉 長(zhǎng)時(shí)間軸看,半導(dǎo)體設(shè)備整體產(chǎn)值是向上的。全球2018市場(chǎng)規(guī)模到達(dá)620億 美金,同比增長(zhǎng)28.57%,預(yù)計(jì)未來(lái)七年復(fù)合成長(zhǎng)8%-10%圖表1:半導(dǎo)體設(shè)備產(chǎn)業(yè)鏈來(lái)源:國(guó)金證券研究所圖表2:

44、半導(dǎo)體設(shè)備產(chǎn)值來(lái)源:SEMI國(guó)金證券研究所投資邏輯之一:行業(yè)自身成長(zhǎng)半導(dǎo)體設(shè)備整體需求來(lái)源于泛半導(dǎo)體領(lǐng)域,即集成電路、LED芯片等子方向均 對(duì)半導(dǎo)體設(shè)備有不同方面的需求。.集成電路設(shè)備成長(zhǎng)動(dòng)力:先進(jìn)制程+新晶圓廠投產(chǎn)集成電路設(shè)備的需求1:先進(jìn)制程的推進(jìn)。集成電路行業(yè)的開展史就是芯片先進(jìn)制程的開展歷史。從1960s開始集成電路 商用化以來(lái),制程從10um到最新的7nm,大約基本每5年左右半導(dǎo)體制程提 升一代,每一代的性能與功耗都會(huì)大幅度提升。制程提升的動(dòng)力就是下游電子 行業(yè)的對(duì)于算力的需求的不斷提高。圖表3:近十年半導(dǎo)體先進(jìn)制程三星三星上海華虹I 3 :,*2018200620142008201

45、6201245納米10納米22納米28納米7納米理14/16 納米2010快,哂65納米中芯國(guó)際、聯(lián)華電子,-4-G國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究來(lái)源:國(guó)金證券研究所集成電路新的制程工藝需求更新的半導(dǎo)體設(shè)備。但需要注意的是即便制程更新?lián)Q 代,并不是所有步驟的機(jī)器都需要更換,只有最關(guān)鍵的步驟才需要更新。以最貴的設(shè)備極紫外光刻機(jī)(EUV)為例說(shuō)明先進(jìn)制程對(duì)于半導(dǎo)體設(shè)備的拉動(dòng):晶片在從空白硅片到填滿上億個(gè)晶體管的過(guò)程中,需要經(jīng)過(guò)很多個(gè)步驟,而其 中很多步琳都需要經(jīng)過(guò)光刻工藝。而光刻機(jī)就是實(shí)施光刻的關(guān)鍵。在14nm工 藝及以上制程,193rlm沉浸式光刻機(jī)可以滿足需求。但至

46、I 了 14nm制程以后, 傳統(tǒng)的光刻機(jī)遇到技術(shù)瓶頸,需要采用極紫外光刻機(jī)。極紫外光刻機(jī)(EUV) 以波長(zhǎng)為13.5納米的極紫外光作為光源的光刻技術(shù),目標(biāo)市場(chǎng)是先進(jìn)制程 7nm工藝。而機(jī)器單價(jià)也升到1億歐元。圖表4:光刻機(jī)開展路徑PC-RAM, ReRAM, Xolnt etc.1yM/x81Xn/x4x12814-15x192 x200EUV Production Insertion WindowI1Zn/x8 1來(lái)源:ASML國(guó)金證券研究所3S O0COSAJOmas 968JO0)20nm ) 16-14nmDRAM1XPlanar Floating Gate NAND17-183D N

47、AND結(jié)論:如果摩爾定律沒有終結(jié),那么半導(dǎo)體設(shè)備的需求仍會(huì)增長(zhǎng),從目前開展 來(lái)看,到2025年內(nèi)摩爾定律仍會(huì)延續(xù),半導(dǎo)體設(shè)備還有很大的開展空間。集成電路設(shè)備的需求2:晶圓廠新廠建設(shè)速度加快,大局部在中國(guó)半導(dǎo)體晶圓廠新開工數(shù)量也直接影響設(shè)備的需求。從2017年開始,亞洲國(guó)家 開始大面積投入晶圓廠建設(shè),主要中國(guó)30家、韓國(guó)30家、臺(tái)灣地區(qū)20家左 右,一個(gè)廠建設(shè)周期約為2-3年,對(duì)應(yīng)整體對(duì)于半導(dǎo)體設(shè)備需求約為200億美 金,對(duì)于半導(dǎo)體設(shè)備需求明顯。圖表5: 2017-2020新建晶圓廠分布5國(guó)金證券SINOLINK SECURITIES國(guó)金證券SINOLINK SECURITIES半導(dǎo)體設(shè)備:銷售

48、額:歐洲:當(dāng)季值 半導(dǎo)體設(shè)備:銷售額:中國(guó)大陸:當(dāng)季值半導(dǎo)體設(shè)備:銷售額:日本:當(dāng)季值 半導(dǎo)體設(shè)備:銷售額:韓國(guó):當(dāng)季值半導(dǎo)體設(shè)備:銷售額:北美:當(dāng)季值 半導(dǎo)體設(shè)備:銷售額:中國(guó)臺(tái)灣:當(dāng)季值行業(yè)深度研究來(lái)源:Semi,國(guó)金證券研究所從下列圖可以看出,半導(dǎo)體銷售額中中國(guó)占比逐步提高,從2013年的15%提升 到2018年的27%,由于晶圓廠占比的原因,預(yù)計(jì)未來(lái)中國(guó)市場(chǎng)占設(shè)備領(lǐng)域市 場(chǎng)份額仍會(huì)穩(wěn)步增加。圖表6:半導(dǎo)體設(shè)備銷售額區(qū)域分拆來(lái)源:Wind國(guó)金證券研究所. LED芯片行業(yè)設(shè)備成長(zhǎng)動(dòng)力:LED芯片應(yīng)用擴(kuò)大+行業(yè)生產(chǎn)效率提升泛半導(dǎo)體領(lǐng)域第二個(gè)對(duì)設(shè)備需求較大的是LED芯片行業(yè)。LED產(chǎn)業(yè)鏈包括榛

49、 制作、外延生長(zhǎng)、芯片制造、封裝和應(yīng)用五個(gè)主要環(huán)節(jié),其中LED外延生長(zhǎng)和制 造環(huán)節(jié)是LED行業(yè)關(guān)鍵步驟。目前外延片制造主流設(shè)備為MOCVD (金屬有機(jī) 化學(xué)氣相淀積法)。以下以MOCVD為例分析LED對(duì)于設(shè)備的拉動(dòng):LED行業(yè)對(duì)于設(shè)備的需求由兩方面拉動(dòng):1)擴(kuò)產(chǎn)2)技術(shù)更新首先,下游LED芯片廠商擴(kuò)產(chǎn)直接拉動(dòng)設(shè)備商需求。生產(chǎn)LED芯片主要設(shè)備 為MOCVDo由下列圖可看出,全球LED芯片產(chǎn)值在2010年、2014年、2017 年左右同比增速到達(dá)波峰,MOCVD出貨量相對(duì)提前一些,但也符合LED芯片 產(chǎn)值波動(dòng),在以上三個(gè)年份亦為周期頂點(diǎn)。G國(guó)金證券SINOLINK SECURITIES行業(yè)深度研

50、究圖表8: MOCYD保有量和新增數(shù)量圖表7: LED芯片的產(chǎn)值(億)產(chǎn)值 YoY2,003.001,803.00來(lái)源:wind國(guó)金證券研究所803.00600.00403.00203.003.001,603.001,403.001,203.001,003.00保有量:MOCVD 當(dāng)年新增來(lái)源:wind國(guó)金證券研究所其次,技術(shù)更新對(duì)于生產(chǎn)本錢的優(yōu)化至關(guān)重要。LED芯片材料帶動(dòng)本錢下降的 空間較少,主要靠技術(shù)進(jìn)步驅(qū)動(dòng)本錢下降,故此各大LED廠商均大力投入技術(shù) 研發(fā)。LED行業(yè)是重資產(chǎn)行業(yè),設(shè)備折舊約占芯片本錢的30%,從歷史來(lái)看, LED芯片單位價(jià)格持續(xù)降低,這與設(shè)備更新是分不開的。來(lái)源:win

51、d國(guó)金證券研究所圖表10: LED燈泡價(jià)格比了。 對(duì)升備圖表9: LED芯片本錢來(lái)源:國(guó)金證券研究所MOCVD設(shè)備技術(shù)參數(shù)也可以看出,上市時(shí)間相隔8年的設(shè)備生產(chǎn)效率提 140%o LED芯片廠商如果要保持自己的本錢競(jìng)爭(zhēng)力,必須不斷投資新設(shè) TOC o 1-5 h z 圖表11: MoCVD設(shè)備生產(chǎn)效率比照爾廠商設(shè)備型號(hào)生產(chǎn)效率14寸H/爐)設(shè)備上市時(shí)間VeeCO K4654蹴獷342008中微半導(dǎo)體A7142016來(lái)源:國(guó)金證券研究所第三,下一代顯示技術(shù)的推動(dòng)對(duì)于新設(shè)備有需求。市場(chǎng)上對(duì)室內(nèi)顯示產(chǎn)品顯示 效果的不斷追求,LED產(chǎn)品不斷往更小的間距開展。追求高解析度已經(jīng)成為行 業(yè)開展和進(jìn)步的一個(gè)重

52、要方向。在繼普通LED顯示屏以后,小間距顯示屏(間 距 250um), M iniLED(間距 100um), MicroLED(間距小于 100um)將逐步走上 商業(yè)舞臺(tái)。-7-G國(guó)金證券SINOLINK SECURITIES行業(yè)深度研究圖表 12: MiniLED 與 MicroLED 區(qū)別來(lái)源:國(guó)金證券研究所mini-LEDmicro-LED尺寸(微米)100-200100用途LCD背光自發(fā)光顯示特點(diǎn)高動(dòng)態(tài)范圍,節(jié)約電能,輕薄高比照度,高效率,高分辨率,快速 反響時(shí)間應(yīng)用LCD背光-從小到大的LCD板顯微投影顯示,顯示尺寸由小到大從先后順序來(lái)看,2019年消費(fèi)者有望最先看到搭載Mini LED背光的終端產(chǎn)品。 Mini LED背光應(yīng)用所采用的LED顆數(shù)用量要比傳統(tǒng)LED背光多50倍以上, 從筆記本電腦約8,000顆,至U 65英寸電視用量約10萬(wàn)到30萬(wàn)顆。下游應(yīng)用主 要以智能手機(jī)、電視等消費(fèi)電子顯示設(shè)備為主。與MiniLED競(jìng)爭(zhēng)的主要對(duì)手是 OLEDo假設(shè)MiniLED (預(yù)計(jì)2019底)與MicroL

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論