一文看懂FPGA芯片投資框架_第1頁
一文看懂FPGA芯片投資框架_第2頁
一文看懂FPGA芯片投資框架_第3頁
一文看懂FPGA芯片投資框架_第4頁
一文看懂FPGA芯片投資框架_第5頁
已閱讀5頁,還剩3頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、FPGA,主要由“可編程邏輯單元+輸入輸出單元+開關(guān)連線陣列”等三種功能單元構(gòu)成。FGPA 通常由可編程的邏輯單元(Logic Cell, LC)、輸入輸出單元(Input Output Block, IO)和開關(guān)連線陣列(Switch Box, SB)三種功能單元構(gòu)成。FPGA 的可編程性是通過向內(nèi)部靜態(tài)存儲單元加載編程數(shù)據(jù)來實現(xiàn)的,存儲在存儲器單元中查找表(LUT)值決定了邏輯單元的邏輯功能以及各模塊之間或模塊與 I/O 間的聯(lián)接方式,開關(guān)陣列能夠通過內(nèi)部 MOS 管的開關(guān)控制信號連線的走向,因此用戶可以通過對邏輯單元和開關(guān)陣列的編程,在 FPGA 內(nèi)部形成不同邏輯電路,以滿足所需功能。圖

2、 2:FPGA 芯片實物圖圖 3:FPGA 芯片結(jié)構(gòu)資料來源:XILINX 官網(wǎng),整理資料來源:維基百科,整理FPGA 和 CPU、GPU、ASIC 的等核心區(qū)別:其底層邏輯運算單元的連線及邏輯布局未固化,適用于底層算法需要持續(xù)更迭的運算領(lǐng)域,例如人工智能算法優(yōu)化。FPGA 芯片,其底層邏輯運算單元的連線邏輯布局并未固化。用戶可通過 EDA 軟件對邏輯單元和開關(guān)陣列編程,進行功能配置,從而去實現(xiàn)特定功能的集成電路芯片。而其他類別邏輯芯片,像 ASIC、CPU 和 GPU 等,物理底層邏輯單元的運算關(guān)系均已固定且不可變。打個比方,如果 ASCI、CPU 和 GPU 等是像建好的樓房,樓房中房間、

3、走廊及樓梯等路線方式是已經(jīng)固定了。而 FPGA 的內(nèi)部類似霍格沃茲中的魔法樓梯,可以隨時改變房間到房間的路線關(guān)系。并且 FPGA 不需要像 CPU 和 GPU 在軟件應(yīng)用層面的指令系統(tǒng)編譯,對 FPGA 進行編程是使用硬件描述語言,并直接編譯燒錄為晶體管電路的組合,即直接用晶體管電路實現(xiàn)用戶的算法。因此 FPGA 方案芯片無需像傳統(tǒng)芯片制造需要流片,可以節(jié)約大量流片生產(chǎn)成本,也無需承擔(dān)由流片帶來的數(shù)個季度的時間成本。由于 FPGA 是一種半定制芯片,具有現(xiàn)場可編程性,特別適用于需求物理運算邏輯需要持續(xù)更迭的應(yīng)用領(lǐng)域,例如人工智能算法優(yōu)化、數(shù)據(jù)中心應(yīng)用等。圖 4:FPGA 和 CPU、GPU、A

4、SIC 的等指標(biāo)區(qū)別圖 5:FPGA 和 ASIC 成本對比資料來源: Sigenics,整理資料來源:IEEE,整理全球 FPGA 芯片需求規(guī)模超過 125 億美金,年復(fù)合增速超過 10%,增長的核心源動力來自何處?FPGA 下游應(yīng)用市場廣泛,隨著 5G 技術(shù)的提升、AI 的推進以及汽車自動化趨勢的演進,全球 FPGA 市場規(guī)模將穩(wěn)步增長。據(jù) Market Research Future 數(shù)據(jù),2018 年全球 FPGA 市場規(guī)模為 63.35 億美元,預(yù)計 2025 年將增長至125.21 億美元,2018-2025 年平均復(fù)合增長率為 10.22%。隨著 5G 技術(shù)的提升以及 AI 的推

5、進,全球 FPGA 市場規(guī)模將穩(wěn)步增長。中國 FPGA 市場高需求,國產(chǎn)化趨勢下助力國內(nèi)企業(yè)占領(lǐng)市場。近年來,中國 FPGA 芯片市場規(guī)模持續(xù)上升,從 2016 年的 65.5 億元增長至 2020 年的 150.3 億元,年復(fù)合增長率答 23.1%。據(jù)預(yù)測,至 2025 年中國 FPGA 市場規(guī)模將進一步提升至 332.2 億元,2021-2025 年的復(fù)合增長率為 17.1%,高于全球 FPGA 市場的 10.85%。在國產(chǎn)化趨勢下,中國 FPGA 市場的成長將助力國內(nèi)企業(yè)占據(jù)市場份額。圖 6:全球 FPGA 市場規(guī)模(億美元)圖 7:中國 FPGA 市場規(guī)模(億元/p>

6、6040200全球FPGA市場規(guī)模YoY14%12%10%8%6%4%2%0%350300250200150100500中國FPGA市場規(guī)模YoY2016 2017 2018 2019 2020E 2021E 2022E 2023E 2024E 2025E35%30%25%20%15%10%5%0%資料來源:中國移動,整理資料來源:產(chǎn)業(yè)信息網(wǎng),整理高靈活性使得下游應(yīng)用領(lǐng)域豐富,是 FPGA 芯片需求增長核心源動力。由于 FPGA 芯片通過專用 EDA 軟件現(xiàn)場對硬件進行編程即可實現(xiàn)具體功能,這樣的高靈活性使得其下游應(yīng)用領(lǐng)域豐富,包括工業(yè)控制、網(wǎng)絡(luò)通信、消費電子、數(shù)據(jù)中心、汽車電子、人工智能等,

7、這些領(lǐng)域增長明確,發(fā)展空間廣闊,是 FPGA 芯片需求增長核心源動力。圖 8:全球 FPGA 各下游應(yīng)用領(lǐng)域資料來源:Frost&Sullivan,分析整理1、5G 技術(shù)更新迭代,驅(qū)動 FPGA 量價齊升。5G 技術(shù)目前處于初期階段,迭代升級過程較長,為 FPGA 的運用提供了較長時間窗口。目前 5G 技術(shù)仍然處于初期,標(biāo)準(zhǔn)仍未確定,通信基站中的通信協(xié)議需要經(jīng)常變化和升級,市場很難快速推出成熟的 5G ASIC 芯片。而 FPGA具有可編程特性,在運算速度和延遲上均具有優(yōu)勢,在 5G 技術(shù)迭代升級過程較長以及技術(shù)不確定的情況下,是 5G基站的必備選擇。5G 通信基站數(shù)量增多,單個基站 FPGA

8、 用量提升,帶動 FPGA 需求量增大。一方面,現(xiàn)階段 5G 基站處于鋪設(shè)初期,且 5G 基站信號衰減較快,對基站的需求量比 4G 時期增長明顯,未來全球 5G 通信基站數(shù)量成長空間巨大。據(jù)產(chǎn)業(yè)信息網(wǎng)數(shù)據(jù),2019 年全球 5G 基站數(shù)量為 13 萬座,到 2024 年全球 5G 基站數(shù)量將增長至 541 萬座。另一方面,隨著大規(guī)模天線技術(shù)的引入,收發(fā)通道數(shù)將從 16T16R 提高到 64T64R 甚至 128T128R,需要對每個天線單元接受到的信號進行數(shù)字處理以降低干擾,單基站 FPGA 用量有望從 4G 時期的 1-3 塊提升到 5G 時期的 4-5 塊,5G基站中 FPGA 價值量比

9、4G 基站多大約 1000 美元。因此,隨著 5G 技術(shù)的不斷演進,通信基站數(shù)量以及單基站 FPGA用量的提升,均將帶動 FPGA 需求量的增長。圖 9:中國移動 5G 基站原型機采用大規(guī)模 FPGA 陣列圖 10:5G 基站建設(shè)數(shù)量預(yù)測(萬座)4G基站 5G基站1200100080060040020002014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024資料來源:中國移動,整理資料來源:產(chǎn)業(yè)信息網(wǎng),整理通道數(shù)增加,計算復(fù)雜度增加,F(xiàn)PGA 單價有望進一步提高。在單價方面,收發(fā)通道數(shù)將從 16T16R 提高到 64T64R甚至 128T1

10、28R,計算復(fù)雜度進一步提升,則需要更大規(guī)模的 FPGA。而較大規(guī)模生產(chǎn)時,F(xiàn)PGA 芯片的單位成本將提升。隨著計算復(fù)雜度提升以及規(guī)模的增長,未來 FPGA 芯片的單價有望進一步提升。預(yù)計至 2025 年,中國通信領(lǐng)域 FPGA 市場規(guī)模將達 140.4 億元。FPGA 的高度靈活性,極強的實時處理能力和并行處理能力使得其在通信領(lǐng)域獲得大規(guī)模應(yīng)用。據(jù) Frost & Sullivan 數(shù)據(jù)顯示,2020 年中國通信領(lǐng)域 FPGA 市場規(guī)模將達 62.1 億元,2025 年將增長至 140.4 億元,平均復(fù)合增長率高達 17.71%,成長空間廣闊。圖 11:5G 基站通道數(shù)增加圖 12:中國 F

11、PGA 通信領(lǐng)域市場規(guī)模(億元)中國FPGA通信領(lǐng)域市場規(guī)模YoY16040%14035%12030%10025%8020%6015%4010%205%00%資料來源:IEEE,整理資料來源:Frost & Sullivan,整理2、人工智能時代 DEEP LEARNING 是重要趨勢,F(xiàn)PGA 具有獨特優(yōu)勢人工智能發(fā)展趨勢顯著,成為 FPGA 新興應(yīng)用市場之一。人工智能早期,GPU 憑借更多的計算能力和更快的內(nèi)存連接速度使機器學(xué)習(xí)成為可能。隨著算法的規(guī)模及復(fù)雜性提升,GPU 能耗大等劣勢逐步顯現(xiàn)。而 FPGA 處理效率及靈活性具有顯著優(yōu)勢,成為理想選擇,潛力日益顯現(xiàn)。在云側(cè)處理時,F(xiàn)PGA

12、芯片的高靈活性及強并行運算能力突出;在端側(cè)處理時,可編程性、高吞吐和低延遲等特點能有效滿足需求。人工智能算法的硬件芯片實現(xiàn)分為云側(cè)處理和端側(cè)處理。在云側(cè)處理時,與 GPU 和 ASIC 相比, FPGA 內(nèi)在并行處理單元達到百萬級,并行運算能力強大。且其可編程性可實現(xiàn)靈活搭建數(shù)據(jù)處理流水線,因此運算速度較快,數(shù)據(jù)訪問延遲低,適合人工智能的實時決策。在端側(cè)處理時,目前人工智能算法模型發(fā)展的重要趨勢是將訓(xùn)練后的模型壓縮后應(yīng)用到推理環(huán)節(jié),F(xiàn)PGA 的可編程性、高吞吐量和低延遲能有效滿足各神經(jīng)網(wǎng)絡(luò)設(shè)計的要求。目前,F(xiàn)PGA 在人工智能加速卡領(lǐng)域廣泛應(yīng)用,預(yù)計 2025 年中國 FPGA 人工智能領(lǐng)域市

13、場規(guī)模將達 12.5 億元。通常情況下,F(xiàn)PGA 會與 CPU 搭配,起到 CPU 加速卡的作用,即把 CPU 的部分數(shù)據(jù)運算卸載至 FPGA,將部分小實時處理/加速定制化的計算交由 FPGA 執(zhí)行。據(jù) Frost & Sullivan 數(shù)據(jù)顯示,2020 年應(yīng)用于該領(lǐng)域的 FPGA 芯片中國銷售額將達 5.8 億元,預(yù)計 2025 年將增長至 12.5 億元,成長空間廣闊。圖 13:Intel FPGA 加速卡圖 14:中國 FPGA 人工智能領(lǐng)域市場規(guī)模(億元)中國FPGA人工智能領(lǐng)域市場規(guī)模YoY141210864202016 2017 2018 2019 2020E 2021E 202

14、2E 2023E 2024E 2025E40%35%30%25%20%15%10%5%0%資料來源:Intel,整理資料來源:Frost & Sullivan,整理3、汽車自動化趨勢下,F(xiàn)PGA 低延遲和高吞吐量使其大放異彩汽車自動化勢不可擋,驅(qū)動 FPGA 在汽車領(lǐng)域的成長。汽車電子行業(yè)對 FPGA 的需求主要來自于 ADAS(智能感知系統(tǒng))和 AV(自動駕駛)。這些應(yīng)用都需要對來自多個傳感器(具有不同類型的接口、數(shù)據(jù)速率等)的大量數(shù)據(jù)進行處理,需要能夠滿足靈活 I/O 接口和高數(shù)據(jù)速率要求的 FPGA 進行支撐。低延遲和更高吞吐量,F(xiàn)PGA 是 ADAS 解決方案的重要組成部分。當(dāng) GPU

15、 進行深度學(xué)習(xí)推理時,需要大量并行數(shù)據(jù)的并行處理,以通過單指令多數(shù)據(jù)(SMID)。相比之下,F(xiàn)PGA 進行無批次處理,具有低且確定的延遲,以及更高的吞吐量和一致的計算效率,這使得 FPGA 成為 ADAS 解決方案的重要組成部分。以國際龍頭賽靈思為例,2014年,其芯片被 14 家汽車制造商采用,并設(shè)計成 29 款車型;到 2018 年,賽靈思芯片解決方案以擴大到 29 家汽車制造商的 111 款車型中。圖 15:FPGA 進行無批次處理圖 16:賽靈思 FPGA 在汽車中的應(yīng)用資料來源:Intel,整理資料來源:賽靈思,整理自動駕駛等級提高,所需傳感器數(shù)量增長,需處理的傳感器數(shù)據(jù)將成指數(shù)級提

16、升。自 2008 年起 L1 級自動駕駛技術(shù)出現(xiàn),至 2019 年 L1 級自動駕駛車型數(shù)量占比達 28.70%,有較大幅度的提升。隨著駕駛自動化水平從 ADAS L1演進到全自動駕駛 L5,對環(huán)境進行感知的傳感器數(shù)量將激增以保證安全,且圖像傳感器要求更高分辨率、像素深度和幀速率,需要多個通信接口和高數(shù)據(jù)帶寬。業(yè)界專家表示,L2 級別自動駕駛平均傳感器數(shù)量約為 6 個,L3 約為13 個,未來 L5 有望達到 30 個以上。圖 17:ADAS 中傳感器的使用圖 18:各級別自動駕駛的車型數(shù)量占比(%)基本款L1級自動駕駛L2級自動駕駛80%70%60%50%40%30%20%10%0%2008

17、 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019資料來源:Synopsys,整理資料來源:蓋世汽車研究室,整理隨著汽車電子不斷發(fā)展,中國 FPGA 汽車領(lǐng)域市場規(guī)模迅速增長。隨著汽車電子不斷發(fā)展,電動汽車和智能汽車滲透率不斷提升,對傳感器的需求將大幅增長,所需處理的數(shù)據(jù)也將呈指數(shù)級增長,這將驅(qū)動 FPGA 在汽車電子領(lǐng)域市場規(guī)模的不斷擴大。據(jù) Frost & Sullivan 數(shù)據(jù)顯示,2020 年應(yīng)用于汽車領(lǐng)域的 FPGA 芯片中國銷售額將達到 9.5億元,預(yù)計 2025 年將增長至 26.3 億元,年復(fù)合增速高達 22.59%。

18、圖 19:中國 FPGA 汽車領(lǐng)域市場規(guī)模(億元)中國FPGA汽車領(lǐng)域市場規(guī)模YoY3030%2525%2020%1515%1010%55%00%2016 2017 2018 2019 2020E 2021E 2022E 2023E 2024E 2025E資料來源: Frost & Sullivan、分析整理4、工業(yè)控制、消費電子同樣是 FPGA 重要應(yīng)用領(lǐng)域工業(yè)控制、消費電子同樣是 FPGA 重要應(yīng)用領(lǐng)域。在工業(yè)控制領(lǐng)域中,F(xiàn)PGA 芯片被大量應(yīng)用在視頻處理、圖像處理、數(shù)控機床等領(lǐng)域以實現(xiàn)信號控制和運算加速功能。2020 年應(yīng)用于工控領(lǐng)域的 FPGA 芯片中國銷售額將達 47.4億元 ,預(yù)計

19、 2025 年將進一步增長至 100.8 億元,復(fù)合增長率達 16.29%。在消費電子領(lǐng)域,F(xiàn)PGA 芯片可用于智能手機、無人機、智能電視、AR/VR 設(shè)備中。2020 年應(yīng)用于消費電子領(lǐng)域的 FPGA 芯片中國銷售額將達 9.4 億元 ,預(yù)計 2025 年將進一步增長至 17.6 億元,復(fù)合增長率達 13.36%。圖 20:中國 FPGA 工業(yè)領(lǐng)域市場規(guī)模(億元)圖 21:中國 FPGA 消費電子領(lǐng)域市場規(guī)模(億元)120100806040200 中國FPGA工業(yè)領(lǐng)域市場規(guī)模YoY40%35%30%25%20%15%10%5%0%中國FPGA消費電子領(lǐng)域市場規(guī)模YoY201816141210

20、864202016 2017 2018 2019 2020E 2021E 2022E 2023E 2024E 2025E40%35%30%25%20%15%10%5%0%資料來源: Frost & Sullivan,整理資料來源: Frost & Sullivan,整理全球 FPGA 市場競爭格局如何?國內(nèi)廠商誰或拔得頭籌?全球 FPGA 市場,主要被海外企業(yè)壟斷,CR4 份額達 97%,賽靈思、Altera 遙遙領(lǐng)先。2019 年賽靈思和 Altera分別占據(jù)全球 FPGA 市場份額的 52%和 35%,遙遙領(lǐng)先;Lattice 和 Microsemi 均占據(jù) 5%的市場份額。由于技術(shù)壁壘高

21、、更新?lián)Q代速度快,全球 FPGA 市場高度集中,國內(nèi)廠商占比較低。中國 FPGA 市場,國內(nèi)企業(yè)有所突破,安路科技出貨量達 6%。2019 年,以出貨量統(tǒng)計,賽靈思、Altera、Lattice和安路科技分別占據(jù)了中國 FPGA 市場 36.6%、25.3%、23.2%和 6.0%的份額;以銷售額計,賽靈思、Altera、Lattice和安路科技分別占據(jù) 55.1%、36.0%、5.2%和 0.9%的市場份額。盡管國外廠商占比仍然較高,但國內(nèi)廠商有所突破。圖 22:2019 年全球 FPGA 市場競爭格局圖 23:2019 年中國 FPGA 市場競爭格局其他, 3%Laticce, 5%Mic

22、rosemi, 5%Laticce, 5.20%安路科技,0.90% 其他, 2.80%賽靈思, 52%Altera, 36.00%賽靈思, 55.10%Altera, 35%資料來源:The Information Network,整理資料來源: Frost&Sullivan,上市公司公開數(shù)據(jù),整理國內(nèi) FPGA 市場需求迅速增長,誰或拔得頭籌?高靈活性帶來廣泛下游應(yīng)用領(lǐng)域,是 FPGA 芯片需求的源動力。隨著 5G 技術(shù)的提升、AI 的推進以及汽車智能化的不斷演進,據(jù) Market Research Future 預(yù)計,2025 年全球 FPGA 市場規(guī)模將增長至 125 億美元。目前全球

23、 FPGA市場被賽靈思、Altera、Lattice、Microsemi 四家美國企業(yè)占據(jù)。隨著國內(nèi) 5G 進程的加快、人工智能、汽車智能化的推進,國內(nèi) FPGA 市場需求迅速增長。國內(nèi) FPGA 企業(yè)在國產(chǎn)化趨勢顯著的良好外部環(huán)境下,積極加大研發(fā)投入,有望逐步占據(jù)中國 FPGA 市場份額,并在產(chǎn)品性能提升過程中,增強核心競爭力,長期來看縮小與國際龍頭的差距。國內(nèi)企業(yè)加大研發(fā)布局,設(shè)計能力有較大提升。在 28nm 制程 FPGA 市場,兩大巨頭賽靈思和 Altera 于 2011 年率先發(fā)布產(chǎn)品并開始銷售,Lattice 和 Actel 也于 2019 年推出對應(yīng)產(chǎn)品,海外 FPGA 企業(yè)擁有

24、先發(fā)優(yōu)勢。隨著國內(nèi)企業(yè)加大研發(fā)布局,其設(shè)計能力已有較大提升,如復(fù)旦微 28nm 制程億門級FPGA 芯片已實現(xiàn)量產(chǎn)出貨;安路科技 28nm產(chǎn)品已正式量產(chǎn),F(xiàn)inFET 工藝產(chǎn)品已開展預(yù)研。隨著國內(nèi)企業(yè)的進一步研發(fā),有望逐步縮小與國際先進水平的差距,在國產(chǎn)化趨勢下擴大國內(nèi)市場份額。國產(chǎn) FPGA 公司梳理:復(fù)旦微、安路科技、紫光同創(chuàng)、高云半導(dǎo)體復(fù)旦微(正在上市詢價):2020 年公司 FPGA 芯片營收 1.52 億元,占總收入的 9.17%。公司自 2004 年開始進行 FPGA 的研發(fā),陸續(xù)推出百萬門級和千萬門級 FPGA。2018 年第二季度率先推出 28nm 工藝制程的億門級 FPGA 產(chǎn)品,SerDes 傳輸速率達到最高 13.1Gbps,填補國內(nèi)空白,并于 2019 年初開始量產(chǎn)。目前,公司基于 28nm 工藝制

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論