電子綜合設(shè)計與制作報告封面及任務(wù)要求_第1頁
電子綜合設(shè)計與制作報告封面及任務(wù)要求_第2頁
電子綜合設(shè)計與制作報告封面及任務(wù)要求_第3頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、電子綜合設(shè)計與制作報告基于Quartus II的搶答器的設(shè)計與仿真姓名學(xué)號專業(yè)班級電子07-基于Quartus H的搶答器的設(shè)計與仿真任務(wù)書一、設(shè)計目的1、掌握基于Quartus II的數(shù)字系統(tǒng)設(shè)計流程;2、熟練掌握VHDL文本和原理圖的輸入方式,加深理解自頂向下的編程思想;3、掌握搶答器電路的工作原理,結(jié)構(gòu)組成和設(shè)計思路。二、設(shè)計內(nèi)容設(shè)計一個基于FPGA器件的搶答器。該搶答器具有總控制允許、復(fù)位、優(yōu)先搶答判決、 倒計時搶答、參賽選手編號顯示等功能;完成搶答器設(shè)計方案的分析和選擇,并在 Quartus II軟件中進行電路設(shè)計和仿真等。三、設(shè)計要求1、系統(tǒng)組成可分為FPGA內(nèi)部電路和外部電路兩大

2、部分。設(shè)計的主要任務(wù)是FPGA內(nèi)部各個 模塊的設(shè)計、仿真和驗證等;2、可同時進行6路搶答并按動開始鍵后,選手才能搶答,否則禁止搶答;3、當主持人宣布開始搶答并按動開始鍵后,選手才能進行搶答;主持人具有總允許開始答題 和復(fù)位按鍵;4、判決最先搶到答題的選于,該路報警并顯示選手的編號;5、當主持人宣布開始答題時,選于必須在5S倒計時時間內(nèi)完成搶答動作,答題時間為15S (倒計時顯示,該時間不包括5S搶答時間);當在規(guī)定的時間內(nèi)有選手答題,或在規(guī)定的時間內(nèi)無人搶答,或開始答題后未在規(guī)定的時間內(nèi)完成答題時,倒計時立即停止并顯示搶答時 間,同時禁止其他人搶答;6、倒計時時間和選手編號采用數(shù)碼管顯示;7、

3、FPGA內(nèi)部包括底層模塊和頂層電路模塊的設(shè)計。底層模塊大致包括:判決模塊、倒計時 模塊、報警模塊、譯碼模塊等;8、FPGA外部端口包括按鍵和數(shù)碼管等。按鍵分為主持人控制的開始和復(fù)位按鍵以及選手的 搶答按鍵等。四、設(shè)計步驟1、設(shè)計步驟根據(jù)每個小組的具體實現(xiàn)過程獨立完成,步驟中應(yīng)對各模塊的功能和實現(xiàn)方法具 體說明;2、設(shè)計步驟中應(yīng)包括系統(tǒng)組成框圖及簡要說明。注意:嚴謹互相抄襲,獨立完成。五、結(jié)果分析應(yīng)對所完成的系統(tǒng)的功能、性能、運行情況、設(shè)計方法和方案以及存在的不足等方面客 觀地進行說明和分析,并給出真實而準確的評價。注意:嚴謹互相抄襲,獨立完成。六、設(shè)計心得應(yīng)具體、客觀,真實地簡述設(shè)計過程中的心得體會。七、程序清單及仿真截圖程序和截圖打印即可。將程序匯總在一起,每個設(shè)計模塊應(yīng)用數(shù)字和文字表明模塊的順 序和名稱;將截圖集中打印,每個截圖下方用“五號宋體”注明截圖的名稱。需要打印的截圖包括:1、5S倒計時模塊仿真波形;2、15S倒計時模塊仿真波形;3、搶答判決模塊仿真波形;4、頂層原理圖。設(shè)計報告要求1、任務(wù)書中前6項內(nèi)容均采用山東輕工業(yè)學(xué)院課程設(shè)計報告專用紙書寫,字跡工整,作 圖規(guī)范;2、程序代碼由于太長,截圖難以手工繪制,因此程序和截圖按要求打印即可;3、設(shè)計步驟、結(jié)果分析、設(shè)計心得、編寫程序以及截圖等應(yīng)獨立完成,不可相互抄襲,雷同者按0分處理;4、設(shè)計報告一律在左側(cè)用兩

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論