半導(dǎo)體封測行業(yè)分析報(bào)告_第1頁
半導(dǎo)體封測行業(yè)分析報(bào)告_第2頁
半導(dǎo)體封測行業(yè)分析報(bào)告_第3頁
半導(dǎo)體封測行業(yè)分析報(bào)告_第4頁
半導(dǎo)體封測行業(yè)分析報(bào)告_第5頁
已閱讀5頁,還剩29頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、2014 年 07 月 06 日先進(jìn)封裝高速滲透期來臨,國內(nèi)封測大廠騰飛在即強(qiáng)“芯”系列之二/證券研究報(bào)告看好投資提示:國內(nèi)專業(yè)代工封測企業(yè)迎來發(fā)展良機(jī)。封裝與測試是半導(dǎo)體 上重要一環(huán),國內(nèi)封測產(chǎn)值占到集成電路產(chǎn)值的 40%以上。過去幾年全球封相關(guān)研究測大廠市場份額基本保持穩(wěn)定,這一競爭格局有利于國內(nèi)廠商進(jìn)行追趕。并且未來半導(dǎo)體行業(yè)將有的IDM 廠商向Fabless+代工廠商轉(zhuǎn)變,專業(yè)代工封測市場占比將持續(xù),為專業(yè)代工封測企業(yè)提供發(fā)展機(jī)會。半導(dǎo)體封裝行業(yè)正處先進(jìn)封裝技術(shù)不斷實(shí)現(xiàn)突破的第二發(fā)展階段。半導(dǎo)體封裝行業(yè)從技術(shù)演進(jìn)歷程來看主要分為三個階段。第一階段表現(xiàn)為外部引腳形式的進(jìn)步,實(shí)現(xiàn)運(yùn)算能力和

2、交互能力的同步 。第二階段則是以 FC、Bum 、WLCSP、TSV 為代表的先進(jìn)封裝技術(shù)不斷實(shí)現(xiàn)突破,根據(jù)產(chǎn)品需求提高運(yùn)算能力或交互能力。第三階段是先進(jìn)封裝技術(shù)的融合,實(shí)現(xiàn) IC 功能集成度的快速 。FC+Bum技術(shù)是IC 先進(jìn)制程必然選擇。當(dāng)制程來到40nm 以下時,傳統(tǒng)的 WB 和 FC 封裝技術(shù)由于引線和錫球直徑過寬已經(jīng)無法適用。 Coppillar Bum技術(shù)能把連接點(diǎn)直徑由 100um 降到 20-50um,因此成為 IC 先進(jìn)制程必然選擇。預(yù)計(jì) 2017 年全球 Bum晶圓產(chǎn)量為 2300 萬片,五年年復(fù)合增長率為 37%,市場規(guī)模近 60 億。分析師基于TSV 技術(shù)的3D 封裝

3、是未來發(fā)展趨勢。當(dāng)封裝面積/面積達(dá)到1:1張騄 A0230512070013時,傳統(tǒng) 2D 封裝已經(jīng)達(dá)到極限。為了能進(jìn)一步提高這一比例,3D 封裝zhang技術(shù)開始興起。基于 TSV 技術(shù)的 3D 封裝是未來發(fā)展趨勢,預(yù)計(jì) 2017 年研究支持市場規(guī)模約 90 億,五年年復(fù)合增長率為 64%。A0230114040001國內(nèi)封測大廠先進(jìn)封裝技術(shù)布局完成,發(fā)展?jié)摿薮蟆iL電科技是國內(nèi)lu規(guī)模最大,技術(shù)實(shí)力最先進(jìn)的封測大廠,未來有望沖擊全球封測行業(yè)第聯(lián)系人一陣營。華天科技完成昆山、西安、天水三地高中生產(chǎn)布局,成本和技術(shù)優(yōu)勢兼?zhèn)?,國?nèi)最賺錢封測大廠。晶方科技專注于先進(jìn)封裝(8621)23297818

4、7340技術(shù),是全球第二大 WLCSP CIS 產(chǎn)品供應(yīng)商,能力遠(yuǎn)高于業(yè)可shiy比公司。通富微電正積極謀求先進(jìn)封裝技術(shù)突破,太極實(shí)業(yè)探尋與地址:市東路 99 號士合作新變化。:(8621)23297818申銀萬國投資建議:重點(diǎn)關(guān)注已經(jīng)完成先進(jìn)封裝技術(shù)布局的長電科技、華天科技、 HYPERLINK http:/w/ http:/w晶方科技;同時建議關(guān)注近期可能會有新變化的通富微電、太極實(shí)業(yè)。本公司不持有或交易 及其衍生品,在法律 情況下可能為或爭取為 提到的公司提供財(cái)務(wù)顧問服務(wù);本公司關(guān)聯(lián)機(jī)構(gòu)在法律 情況下可能持有或交易 提到的上市公司所 的 或投資標(biāo)的,持有比例可能超過已 的 1%,還可能為

5、或爭取為這些公司提供投資 服務(wù)。本公司在知曉范圍內(nèi)履行披露義務(wù)??蛻艨赏ㄟ^ complian索取有關(guān)披露資料或登錄信息披露欄目查詢??蛻魬?yīng)全面理解 結(jié)尾處的法律 。行業(yè)研究行業(yè)研究行業(yè)及產(chǎn)業(yè)電子/元件目 錄1.國內(nèi)專業(yè)代工封測企業(yè)迎來發(fā)展良機(jī)41.1 半導(dǎo)體概況41.2 封裝與測試是半導(dǎo)體上重要一環(huán)6封裝與測試行業(yè)競爭格局有利于國內(nèi)廠商追趕7專業(yè)代工封測企業(yè)迎來發(fā)展良機(jī)92.半導(dǎo)體封裝技術(shù)發(fā)展歷程10半導(dǎo)體封裝技術(shù)演進(jìn)路徑10階段一:外部引腳形式不斷優(yōu)化11階段二:先進(jìn)封裝技術(shù)突破13Flip-Chip 先進(jìn)封裝技術(shù)發(fā)展基礎(chǔ)152.3.2r Bum晶圓凸點(diǎn)封裝技術(shù)18尺寸封裝技術(shù)202.3.3

6、 WLCSP 晶圓級2.3.4 基于TSV 技術(shù)的 3D IC 封裝242.4 階段三:系統(tǒng)集成度快速.28. 303.國內(nèi)封裝與測試行業(yè)3.43.54.長電科技:國內(nèi)封測龍頭,技術(shù)實(shí)力領(lǐng)先30華天科技:三地布局完成,成本技術(shù)優(yōu)勢兼?zhèn)?1晶方科技:封測行業(yè)新秀,能力出眾33通富微電:積極謀求先進(jìn)封裝技術(shù)突破34太極實(shí)業(yè):謀求與士合作模式新變化34投資建議35圖表目錄圖 1:上重要一環(huán)4封裝與測試是半導(dǎo)體圖 2:半導(dǎo)體行業(yè)兩大商業(yè)模式 IDM 和 Fabless+代工5圖 3:全球封裝與測試市場占半導(dǎo)體行業(yè)的 16.5%左右6圖 4:國內(nèi)封裝與測試占比一直保持在 40%以上6圖

7、 5:封測企業(yè)前十市場份額7圖 6: 2013 年前十封測企業(yè)分布情況8圖 7:全球半導(dǎo)體封測行業(yè)龍頭廠商市占率變化8圖 8:. 9專業(yè)代工封測占比穩(wěn)步圖 9:專業(yè)代工封測占比穩(wěn)步. 10圖 10: 半導(dǎo)體封裝技術(shù)演進(jìn)歷程10圖 11: 第一階段封裝技術(shù)演進(jìn)主要體現(xiàn)在外部引腳形狀的改變12圖 12: 半導(dǎo)體先進(jìn)封裝技術(shù). 13圖 13:制造環(huán)節(jié)中道工序涌現(xiàn)14圖 14:FC 與 Wire Bonding 連接方式比較15圖 15:FC 技術(shù)三大優(yōu)勢16圖 16:FC三種連接方式16圖 17:FC PBGA 占比進(jìn)一步提高17圖 18:FC FBGA 市場規(guī)模快速. 17圖 19:傳統(tǒng) FCBG

8、A 與先進(jìn) Copper BumFCBGA 封裝技術(shù)比較18圖 20:Copper Bum市場規(guī)模快速(12 英寸晶圓折算)19圖 21:WLCSP 封裝技術(shù)與傳統(tǒng)封裝技術(shù)比較20圖 22:基于r Bum技術(shù)的 WLCSP 制作流程。、20圖 23: Scase 系列WLCSP 技術(shù)封裝流程21圖 24:WLCSP 主要應(yīng)用領(lǐng)域22圖 25:2010-2016 年全球WLCSP 持續(xù)穩(wěn)定增長23圖 26:全球 CIS 市場規(guī)模巨大實(shí)現(xiàn)持續(xù)增長23圖 27:未來全球 MEMS 市場將持續(xù)快速增長24圖 28:基于Wire Bonding 技術(shù)的 3D 堆疊封裝技術(shù)25圖 29:TSV 制造流程2

9、5圖 30:TSV 式 CIS 優(yōu)勢明顯26圖 31:CIS 成為最早采用TSV 技術(shù)的領(lǐng)域26圖 32:基于TSV 技術(shù)的 2.5D 封裝方法27圖 33:基于TSV 技術(shù)的 3D 封裝方法27圖 34:2013 年 3D TSV 進(jìn)入高速滲透期28圖 35:未來五年 3D TSV 封裝復(fù)合增長率為 64%28圖 36:未來半導(dǎo)體分工圖28圖 37:不同功能組合實(shí)現(xiàn)系統(tǒng)性功能集成29圖 38:SiP 將成未來集成電路行業(yè)演進(jìn)必然趨勢29圖 39:2013 年國內(nèi)上市封測企業(yè)收入比較30圖 40:2013 年長電科技全球市占率已達(dá) 3.4%30圖 41:長電科技先進(jìn)封裝技術(shù)全面布局31圖 42

10、:華天科技三地布局完成31圖 43:2013 年國內(nèi)上市封測企業(yè)凈利潤比較32圖 44:晶方科技是最早獲得Scase的大陸封測廠33圖 45:2013 年國內(nèi)上市封測企業(yè)凈利潤率比較33圖 46:通富微電非公開增發(fā)項(xiàng)目募資情況34圖 47:通富微電非公開增發(fā)項(xiàng)目收入與. 34表 1:2013 年國內(nèi)封測企業(yè)前十9表 2:封裝技術(shù)比較13表 3:國內(nèi)封測企業(yè)估值情況351. 國內(nèi)專業(yè)代工封測企業(yè)迎來發(fā)展良機(jī)據(jù)WSTS 統(tǒng)計(jì),2013 年全球半導(dǎo)體行業(yè)市場規(guī)模首次超過了 3000 億,是所有電子類產(chǎn)品最重要的上游環(huán)節(jié),其下游產(chǎn)品涉及到人們生活中的方方面面,不論是日常辦公用的計(jì)算機(jī)、平板電腦等消費(fèi)電

11、子,還是生活中用到的各種家用電器,以及出行用到的各類交通工具都離不開半導(dǎo)體產(chǎn)品。1.1 半導(dǎo)體概況半導(dǎo)體是電子行業(yè)領(lǐng)域中一個市場規(guī)模體量無比巨大的子行業(yè),不過與其他電子由 IC 設(shè)計(jì)、晶圓制造、子行業(yè)相比半導(dǎo)體結(jié)構(gòu)相對比較簡單。半導(dǎo)體封裝與測試三個環(huán)節(jié)組成。IC 設(shè)計(jì)是半導(dǎo)體上最的一環(huán)。整個半導(dǎo)體都是以IC 設(shè)計(jì)廠商為中心,由 IC 設(shè)計(jì)廠來最初發(fā)現(xiàn)下游需求和最終完成產(chǎn)品變現(xiàn)。首先 IC 設(shè)計(jì)廠商根據(jù)下游市場需求來進(jìn)行產(chǎn)品設(shè)計(jì),產(chǎn)品設(shè)計(jì)好后找到晶圓制造廠商和封裝測試廠商來進(jìn)行的生產(chǎn),并向晶圓制造廠商和封裝測試廠商支付代工費(fèi)用,最后由 IC 設(shè)計(jì)廠商把生產(chǎn)好的賣給下游客戶完成最終的產(chǎn)品變現(xiàn)。晶圓

12、制造和封裝測試這兩個環(huán)節(jié)在整個半導(dǎo)體上則扮演著產(chǎn)品代工生產(chǎn)和集成組裝兩個角色,實(shí)現(xiàn)了產(chǎn)品從設(shè)計(jì)圖紙到成品的轉(zhuǎn)變,同樣也是形成環(huán)的重要環(huán)節(jié)。閉圖 1: 封裝與測試是半導(dǎo)體上重要一環(huán)資料來源:申萬研究根據(jù) IC 設(shè)計(jì)、晶圓制造和封裝測試這三個環(huán)節(jié)的不同組成方式,半導(dǎo)體行業(yè)存在兩大商業(yè)模式:IDM 和Fabless+代工。IDM( egrated Device Manufacturing)為垂直同時完成設(shè)計(jì)、制造、封裝三個環(huán)節(jié),這一模式有利于半導(dǎo)體模式,由一家廠商對下游需求的快速反應(yīng)。PC 時代,在el 的推動下 IDM 模式盛極一時。目前,全球采用 IDM 模式的IC 大廠主要有el、Samsun

13、g、TI、STM 等廠商。不過,隨著智能時代的來臨,F(xiàn)abless+代工模式開始崛起,已經(jīng)有IDM之勢。Fabless+代工模式則是在垂直分別由專門的廠商來完成。上采用專業(yè)化分工的商業(yè)模式,三個環(huán)節(jié)這樣 Fabless IC 設(shè)計(jì)廠商不再需要大額自己投資建設(shè)生產(chǎn)線,降低了 IC 設(shè)計(jì)環(huán)節(jié)進(jìn)入壁壘,同時也降低了 IC 設(shè)計(jì)廠商一款產(chǎn)品開發(fā)不成功的風(fēng)險(xiǎn),從而使得IC 設(shè)計(jì)環(huán)節(jié)有需求。中小廠商能夠進(jìn)入,設(shè)計(jì)出更優(yōu)質(zhì)的產(chǎn)品來滿足市場多樣性晶圓制造和封裝測試環(huán)節(jié)具有非常大的規(guī)模效應(yīng),通過專業(yè)化代工的模式,更有利于產(chǎn)能資源整合,實(shí)現(xiàn)產(chǎn)能資源的優(yōu)化配置。一方面是產(chǎn)能利用率的提高帶來半導(dǎo)體行業(yè)生產(chǎn)成本的降低,

14、另一方面則是專業(yè)代工產(chǎn)品多樣化有利于分散下游終端產(chǎn)品需求變化帶來的產(chǎn)品需求結(jié)構(gòu)的變化。因此,在移動智能終端時代,以“高通+臺積電+日月光”為代表的 Fabless+代工模式已經(jīng)逐漸趕超 IDM 模式,一些 IDM 廠商逐漸轉(zhuǎn)向Fablite 和Fabless 模式轉(zhuǎn)變。曾經(jīng)的 PC巨頭 AMD 就在 2009 年完成了 IC 設(shè)計(jì)和晶圓制造的分拆,分拆出了現(xiàn)在全球第二大的晶圓代工廠GlobalFoundry。圖 2: 半導(dǎo)體行業(yè)兩大商業(yè)模式 IDM 和 Fabless+代工資料來源:申萬研究1.2 封裝與測試是半導(dǎo)體上重要一環(huán)封裝與測試是半導(dǎo)體上重要一環(huán),產(chǎn)值在中的占比一直非常穩(wěn)定。根據(jù)Gar

15、tner 的統(tǒng)計(jì),2013 年全球半導(dǎo)體封裝與測試行業(yè)市場規(guī)模為 498 億,較上年同比增長 4.1%,近五年年復(fù)合增長率為 5.5%,占全球半導(dǎo)體行業(yè)市場規(guī)模比值為 16.4%。過去五年,封裝測試環(huán)節(jié)在整個半導(dǎo)體產(chǎn)業(yè)中產(chǎn)值占比一直非常穩(wěn)定,始終保持在 16%-17%這個穩(wěn)定區(qū)間。圖 3: 全球封裝與測試市場占半導(dǎo)體行業(yè)的 16.5%左右圖 6: 2013 年前十封測企業(yè)分布情況2江蘇新潮科技66.5內(nèi)資34飛思半導(dǎo)體(中國)64.945.0威訊聯(lián)合半導(dǎo)體()5南通華達(dá)微電子41.3內(nèi)資678910半導(dǎo)體(無錫)松下半導(dǎo)體三星電子(蘇州)半導(dǎo)體33.933.723.723.223.0合資瑞薩半

16、導(dǎo)體()英飛凌科技(無錫)資料來源:CSIA,申萬研究1.4 專業(yè)代工封測企業(yè)迎來發(fā)展良機(jī)正如前面對半導(dǎo)體行業(yè)商業(yè)模式的分析,未來隨著制程的降低和晶圓尺寸的增大半導(dǎo)體制造環(huán)節(jié)的資本開支將呈指數(shù)增長,這也就導(dǎo)致 的 IDM 廠商難以繼續(xù)保持 IDM 模式。這些為了能夠獲得更高制程來保持產(chǎn)品競爭力,必然向 Fabless+代工模式轉(zhuǎn)變,保留設(shè)計(jì)環(huán)節(jié)并把制造環(huán)節(jié)讓專業(yè)晶圓制造和封測廠商來完成。因此在過去幾年,專業(yè)代工封測行業(yè)市場份額占比逐年增長到了 2013 年的 50.4%。,從 2009 年的 45.2%圖 8: 專業(yè)代工封測占比穩(wěn)步資料來源:申萬研究2.2 階段一:外部引腳形式不斷優(yōu)化最初的D

17、IP(雙列直插式)封裝技術(shù)指采列直插形式封裝的集成電路,絕大多數(shù)中小規(guī)模集成電路(IC)均采用這種封裝形式。最早的 4004、8008、8086、8088等 CPU 都采用了 DIP 封裝形式。不過,采用這一技術(shù)進(jìn)行封裝的面積與內(nèi)核面積之比比較大,從而體積也較大;而且 I/O 引腳數(shù)也比較少,一般不超過 100 個。上世紀(jì) 80 年始,隨著表面組裝技術(shù)SMT(Surface Mount Technology)的興起,引腳形狀就從原來的直插式開始轉(zhuǎn)變?yōu)橘N裝短引線或無引線形式。這一技術(shù)大幅提高了組裝效率。在之后的十多年時間里,封裝領(lǐng)域逐漸出現(xiàn)了 SOP(Small Out-Line Package

18、)、QFP(Quad Flat Package)、QFN(Quad Flat No-le等技術(shù)。ackage)經(jīng)十多年研制開發(fā)的 QFP 不但解決了 LSI 的封裝問題,而且適于使用 SMT 在 PCB 或其他基板上表面貼裝,使 QFP 終于成為 SMT 主導(dǎo)電子產(chǎn)品并延續(xù)至今。為了適應(yīng)電路組裝密度的進(jìn)一步提高, QFP 的引腳間距目前已從 1.27mm 發(fā)展到了0.3mm。由于引腳間距不斷縮小,IO 數(shù)不斷增加,封裝體積也不斷加大,給電路組裝生產(chǎn)帶來了許多,導(dǎo)致成品率下降和組裝成本的提高。另一方面由于受器件引腳框架加工精度等制造技術(shù)的限制,0.3mm 已是 QFP 引腳間距的極限,這都限制了

19、組裝密度的提高。圖 11: 第一階段封裝技術(shù)演進(jìn)主要體現(xiàn)在外部引腳形狀的改變資料來源:申萬研究20 世紀(jì) 8090 年代,隨著 IC 特征尺寸不斷減小及集成度的不斷提高,尺寸也不斷增大,IC 發(fā)展到了超大規(guī)模 IC(Very Large Scaleegration,VLSI)階段,可集成門電路高達(dá)數(shù)百萬以及數(shù)千萬只,其 I/O 數(shù)也達(dá)到數(shù)百個甚至超過 1000 個。這樣原來四邊引出的 QFP 及其他類型的電子封裝已封裝VLSI 的要求。于是一類先進(jìn)的封裝 PGA(Pin Grid Array,插針陣列)和 BGA(Ball GridArray,焊球陣列)應(yīng)運(yùn)而生,電子封裝引線由周邊型發(fā)展成面陣

20、型。這類封裝方法一般使用層壓基板取代傳統(tǒng)封裝用的金屬框架,其 I/O 引腳分布在封裝下面。PGA和 BGA 技術(shù)具有大大增加 I/O 數(shù)和引腳間距、引線短和再布線等眾多優(yōu)點(diǎn)。從而消除了 QFP 技術(shù)的高I/O 數(shù)帶來的生產(chǎn)成本和可靠性問題。但是由于 PGA 受到體積大且太重、制作工藝復(fù)雜且成本高和不能使用 SMT 進(jìn)行表面貼裝等缺點(diǎn)的限制,在 VLSI 時同樣為力難以實(shí)現(xiàn)工業(yè)化規(guī)模生產(chǎn)。而 BGA技術(shù)綜合了 QFP 和 PGA 的優(yōu)點(diǎn),最終取代了 PGA 封裝技術(shù)。至此,多年來一直大大滯后不乏。發(fā)展的集成電路封裝,由于BGA 的開發(fā)成功而終于能夠適應(yīng)發(fā)展的封裝效率也急劇,封裝面積/芯并且,在外

21、部引腳數(shù)增多的同時,片面積從最初的 100:1到了達(dá)到CSP 標(biāo)準(zhǔn)的 1.2:1 水平。最初流行的DIP,以 40個 I/O 的 CPU 為例,封裝面積/面積為(15.2450)(33)=85:1。后來主流的 QFP 封裝尺寸大幅減小,但是封裝面積/有 208 個 I/O 的 QFP 為例,要封裝 10mm2 的LSI面積仍然很大。以引腳 0.5mm 節(jié)距,需要的封裝尺寸為 28 mm2,這樣封裝面積/面積之比仍然為(2828)(1010)=7.8:1。后來在 BGA 基礎(chǔ)上開發(fā)出來的 FBGA 更是把封裝面積/面積之比再次大幅減小,使得 BGA 封裝面積/面積1.2:1,達(dá)到了尺寸封裝(Ch

22、ip Size Package,CSP)標(biāo)準(zhǔn)。解決了長期存在的小而封裝大的根本。表 2:封裝技術(shù)比較DIPSOPQFPQFNPGABGAI/O 數(shù)664844422321410064447225500腳距(mm)2.541.271.0, 0.8, 0.651.27, 1.0162.541.5,1.27,1.0雙邊引腳插入型 4.45.9100:1雙邊引腳貼裝型1.53.4四邊引腳貼裝型 1.54.410:1四邊電極凸塊貼裝型1.55.0底部細(xì)針插入型4.56.4底部球形貼裝型 2.53.02:1引腳形狀封裝高度(mm)封裝面積/面積資料來源:集成電路封裝技術(shù),申萬研究2.3 階段二:先進(jìn)封裝技

23、術(shù)突破當(dāng)封裝形式發(fā)展到BGA 之后,要想再依靠改變外部引腳形狀來同步運(yùn)算性能和交互性能難度越來越大。因此,封裝技術(shù)的演進(jìn)逐漸從外部引腳形狀的優(yōu)化轉(zhuǎn)變?yōu)檫B接方式的改變,這也是當(dāng)前主流封測廠商重點(diǎn)研發(fā)領(lǐng)域,在先進(jìn)封裝技術(shù)領(lǐng)域不斷尋求突破。不過,連接方式改變一般只能在一方面進(jìn)行產(chǎn)品性能優(yōu)化,所以封測廠商會根據(jù)產(chǎn)品需求來對某一技術(shù)進(jìn)行重點(diǎn)突破。目前,半導(dǎo)體封裝技術(shù)的主流發(fā)展方向包括 FC(Flip Chip,倒裝)、WLCSP(rLevel Chip Scale Packaging,晶圓級規(guī)模封裝)、Bum(凸塊技術(shù))、TSV(Through Silicon Via,硅通孔技術(shù))等,其中 FC 是實(shí)現(xiàn)

24、后面三個技術(shù)的基礎(chǔ)。圖 12: 半導(dǎo)體先進(jìn)封裝技術(shù)資料來源:Yole Developpement、申萬研究隨著先進(jìn)封裝技術(shù)的不斷涌現(xiàn),原來界限非常分明的 IC晶圓制造前道工序和封裝測試后道工序,現(xiàn)在逐漸出現(xiàn)了由晶圓制造技術(shù)與封裝測試技術(shù)融合的中道工序。中道工序包含r Bum、WLP、TSV 等主要先進(jìn)封裝技術(shù),從而使得封測廠與晶圓制造廠的關(guān)系現(xiàn)在變得更為緊密,現(xiàn)在產(chǎn)值已經(jīng)超過了 15 億,未來市場規(guī)??赡軙?100 億以上。圖 13:制造環(huán)節(jié)中道工序涌現(xiàn)資料來源:Yole,申萬研究2.3.1 Flip-Chip 先進(jìn)封裝技術(shù)發(fā)展基礎(chǔ)在封裝技術(shù)發(fā)展到BGA 階段之前,都是有電路的一面方向朝上。

25、與外界的方式主要是通過細(xì)金屬導(dǎo)線來進(jìn)行連接,這種連接方式被稱為引線鍵合(Wire Bonding)。但是為了能夠進(jìn)一步提高的 I/O 數(shù)以及對于一些高頻的信號處理需要縮短引線長度降低干擾,F(xiàn)lip-Chip 這種新的連接方式應(yīng)運(yùn)而生。FC 是直接通過上呈陣列排布的凸點(diǎn)來實(shí)現(xiàn)與封裝襯底(或 PCB 板)的放置方向相反,故被稱為倒互聯(lián)。由于是倒扣在封裝襯底上的,與常規(guī)封裝裝。FC封裝技術(shù)現(xiàn)在也成為了先進(jìn)封裝技術(shù)進(jìn)一步發(fā)展的基礎(chǔ)。圖 14:FC 與 Wire Bonding 連接方式比較資料來源:申萬研究FC 封裝技術(shù)相對于原來的 WB 封裝技術(shù)具有更優(yōu)越的熱學(xué)性能,更優(yōu)越的電學(xué)性能。面優(yōu)勢:更高密

26、度的 I/O 數(shù),F(xiàn)C 封裝相對于傳統(tǒng)的 WB 技術(shù)擁有更高密度的 I/O 數(shù),這是其最大的優(yōu)勢。這主要?dú)w功于 FC的 Pad(焊盤)陣列排布,它是將上原本是周邊排布的 Pad進(jìn)行再布局,最終以陣列方式引出,從而大幅提高 I/O 數(shù)。FC 封裝在熱學(xué)性能上也具有明顯的優(yōu)勢。一般增強(qiáng)散熱型引線鍵合的 BGA 器件的耗散功率僅 5-10W,F(xiàn)C 封裝則通常能產(chǎn)生 25W 耗散功率。而如今許多電子器件,如 ASIC,微處理器,SOC 等封裝耗散功率都在 10-25W 范圍,甚至更大。這也就使得傳統(tǒng)WB 技術(shù)難以勝任。電學(xué)性能則是 FC 技術(shù)的另一個重要優(yōu)點(diǎn)。引線鍵合使用的導(dǎo)線長,對于高頻信號或者其他

27、一些應(yīng)用會產(chǎn)生嚴(yán)重的影響,從而出現(xiàn)明顯的瓶頸。而 FC 技術(shù)與基板連接距離短,使得能夠處理更高頻率的信號。在過去,2-3GHZ 是 IC 封裝的頻率上限,F(xiàn)C 根據(jù)使用的基板技術(shù)可高達(dá) 10-40 GHZ 。圖 15:FC 技術(shù)三大優(yōu)勢資料來源:申萬研究倒裝有三種主要的連接形式:控制塌陷連接(Controlled Collapse ChipConnection,C4)、直接連接 (Direct chip attach,DCA)和黏著劑連接的FCAA(Flip Chip Adhesive Attachement)。C4 技術(shù)是一種超精細(xì)間距的BGA 形式,一般焊球間距為 0.20.254mm,直

28、徑為 0.10.127mm,焊球可以安裝在管芯的四周,也可以采用全部或局部的陣列配置型式。不過,該技術(shù)采用的 97Pb/3Sn 材料回流焊焊接溫度高達(dá) 320,只能采用陶瓷基片,進(jìn)行大批量生產(chǎn)應(yīng)用的主要是陶瓷球柵陣列(CBGA)和陶瓷圓柱柵格陣列(CCGA)組建的裝配。C4 元件具有眾多優(yōu)勢:I/O 密度極高、熱性能和電性能優(yōu)異、不存在焊盤尺寸限制、可實(shí)現(xiàn)最小的元器件尺寸和質(zhì)量。DCA 技術(shù)是一種超微細(xì)間距的BGA 形式,與 C4 技術(shù)基本類似。兩者最主要的差異在于焊接方式有所差異,DCA 在焊盤上采用了較低共焊料(37Pb/63Sn),從而使得 DCA 裝配時所采用的回流焊接溫度大約為 22

29、0,可以在標(biāo)準(zhǔn)的表面貼裝工藝處理下安置到電路板上面,能夠適合眾多應(yīng)用場合,尤其在便攜式電子產(chǎn)品的應(yīng)用中。FCAA 具有很多形式,它用黏著劑來代替焊料,將管芯與下面的有源電路連接在一起,可以貼裝陶瓷、PCB 基板、柔性電路板和玻璃材料等,這項(xiàng)技術(shù)應(yīng)用非常廣泛。圖 16:FC三種連接方式資料來源:申萬研究FC 技術(shù)作為先進(jìn)半導(dǎo)體封裝技術(shù)的基礎(chǔ)啊,未來市場規(guī)模將實(shí)現(xiàn)持續(xù)快速增長。一方面受益于市場總需求的,另一則是對傳統(tǒng)WB 技術(shù)的快速替代。在PBGA 領(lǐng)域,目前 FC 技術(shù)已經(jīng)WB 技術(shù)占比為 57%,未來將繼續(xù)受益于計(jì)算機(jī)、通訊產(chǎn)品、多設(shè)備的需求進(jìn)一步拉開差距。預(yù)計(jì)到 2017 年,F(xiàn)C PBGA

30、出貨量將達(dá)到 24 億顆,年復(fù)合增長率為 12%,占比達(dá)到 83%。圖 17:FC PBGA 占比進(jìn)一步提高在FBGA 領(lǐng)域,未來五年受益于 Memory 封裝和WLP 封裝技術(shù)的增多,F(xiàn)C FBGA需求量將快速。據(jù)TechSearch,F(xiàn)C FBGA 市場規(guī)模年復(fù)合增長率為 22%,從 2012 年的 34 億顆增長到 2017 年的 93 億顆;而與之對應(yīng)的傳統(tǒng) WB FBGA 需求量則從 202 萬顆減少到 168 萬顆。從而,使得倒裝技術(shù)在 FBGA 中占比由 2012 年的 14%大幅到 36%。圖 18:FC FBGA 市場規(guī)模快速資料來源:Amkor,申萬研究I/O 引腳密度的是

31、 IC 封裝技術(shù)進(jìn)步永恒的目標(biāo),Copper Bum技術(shù)是實(shí)現(xiàn)這一目標(biāo)并且適用于先進(jìn)制程的必然趨勢,從而成為了全球封測大廠必爭之地。據(jù) Yole Developpement 預(yù)計(jì),2017 年全球Copper Bum市場規(guī)模將達(dá)到 2300萬片/年(12 英寸晶圓折算,后同),對應(yīng) 2012 年不到 500 萬片/年的市場規(guī)模年復(fù)合增長率高達(dá) 38%。這主要受益于 Bum技術(shù)本身市場規(guī)模年復(fù)合 20%以上的快速增長,以及 Copper Bum技術(shù)對其他材料 Bum技術(shù)的逐漸替代,CopperBum占比將從 2012 年的 37%到 2017 年的 69%。在Copper Bum領(lǐng)域全球 IDM

32、 大廠el 技術(shù)最為領(lǐng)先,產(chǎn)能近 300 萬片/年,占全球一半以上;專業(yè)代工封測大廠中 Amkor 技術(shù)優(yōu)勢明顯,基本能夠做到直徑 4050um 水平,產(chǎn)能近 90 萬片/年;日月光在這一領(lǐng)域快速追趕,近兩年產(chǎn)能快速上量。國內(nèi)封測廠商中長電先進(jìn)領(lǐng)跑,年產(chǎn)能約為 48 萬片/年,華天西鈦緊隨其后,預(yù)計(jì)今年年底產(chǎn)能達(dá) 6 萬片/年。圖 20:Copper Bum市場規(guī)模快速(12 英寸晶圓折算)2.3.3 WLCSP 晶圓級尺寸封裝技術(shù)隨著晶方科技成功登陸,WLCSP(r Level Chip Scale Packaging)技術(shù)成為市場關(guān)注焦點(diǎn)。WLCSP 封裝技術(shù)可以理解為滿足 CSP 標(biāo)準(zhǔn)的

33、WLP 技術(shù)。WLP技術(shù)是指在指在晶圓前道工序完成后,直接對晶圓進(jìn)行封裝,再切割分離成單一,相對于傳統(tǒng)封裝將晶圓切割成單個后再進(jìn)行封裝,WLP 技術(shù)在對面積較小的單個封裝成本方面具有明顯的優(yōu)勢,并且對于封裝完成的證。的一致性也有更高保圖 21:WLCSP 封裝技術(shù)與傳統(tǒng)封裝技術(shù)比較資料來源:申萬研究目前,WLCSP 封裝技術(shù)主要有比較普通的晶圓凸點(diǎn)封裝(r Bum)和比較特別的 Scase 系列 WLCSP 兩種不同技術(shù)路徑。兩種技術(shù)盡管都是 WLCSP,但是最終得到的產(chǎn)品形態(tài)和產(chǎn)品的制作流程都是截然不同的。晶圓凸點(diǎn)封裝WLCSP 技術(shù)以FC BGA 封裝技術(shù)為基礎(chǔ)發(fā)展起來。首先以整片晶圓為對

34、象,在晶圓正面進(jìn)行加工再布線并制作錫球,同時對晶圓上所有進(jìn)行加工處理。然后再對加工完成的晶圓進(jìn)行切割得到單個,最后對進(jìn)行逐個測試。圖 22:基于r Bum技術(shù)的 WLCSP 制作流程。、資料來源:申萬研究Scase 系列 WLCSP 最近因?yàn)榫Х娇萍忌鲜谐蔀榱耸袌龅慕裹c(diǎn)。Scase 系列WLCSP 技術(shù)比較特別,它的主要特點(diǎn)是可以將的電路引至的背面后再制作焊墊,在影像傳感器封裝領(lǐng)域具有天然優(yōu)勢。這主要是由于影像傳感的作正面無case 系用主要是光學(xué)成像,其功能的實(shí)現(xiàn)需要吸納、反饋物體光線,這勢必要求視覺物,即封裝的焊墊不能放在正面,否則會阻礙光線成像。S列 WLCSP 在的正反兩面黏貼玻璃基板

35、(或其他絕緣材料),將線路、焊墊引至背面,玻璃基板具有透明特性。因此,S封裝上具有絕佳的優(yōu)勢。case 系列 WLCSP 在影像傳感器Scase 系列 WLCSP 技術(shù)制作流程主要分為 6 個步驟:1.純化擴(kuò)展層并添加擴(kuò)展墊,2.正面貼合玻璃片一,3.背面腐蝕一個缺口并填充環(huán)氧樹脂再貼合玻璃片二,4.通過沉淀法在背面制作導(dǎo)線并形成T 形連接,5.在背面制作鈍化膜和球柵陣列結(jié)構(gòu)(BGA),6.對晶圓片進(jìn)行切割和測試。圖 23:Scase 系列 WLCSP 技術(shù)封裝流程資料來源:精材科技、申萬研究WLCSP 封裝技術(shù)相對于普通封裝技術(shù)最大的優(yōu)勢在于它是對整片晶圓進(jìn)行加工,封裝效率更高,并且能夠?qū)Φ?/p>

36、一致性有更高保證;封裝成本是與晶圓面積相關(guān),而與個數(shù)無關(guān),所以在對一些面積比較小的進(jìn)行封裝時成本優(yōu)勢明顯;并且隨著晶圓直徑的增大,加工效率就越高,單個元器件的封裝成本就月底,如 12英寸的晶圓面積是 8 英寸的一倍以上,那么單個的加工成本就低很多。目前,WLCSP 封裝技術(shù)主要應(yīng)用在影像傳感器(CIS)、環(huán)境光感應(yīng)片、微機(jī)電系統(tǒng)(MEMS)、射頻識別、醫(yī)療電子器件等領(lǐng)域。其中前兩者采用的是 Scase系列WLCSP 封裝技術(shù),后面三個領(lǐng)域則兩種封裝技術(shù)都可以應(yīng)用。圖 24:WLCSP 主要應(yīng)用領(lǐng)域資料來源:晶方科技招股說明書,申萬研究據(jù)Yole Developpement 統(tǒng)計(jì),2011 年全

37、球WLCSP 市場規(guī)模為 17 億左右,2016 年將增加到 27 億持持續(xù)穩(wěn)定增長。,對應(yīng)年復(fù)合增長率為 9.9%,基本上整個 WLCSP 市場保圖 25:2010-2016 年全球 WLCSP 持續(xù)穩(wěn)定增長3D 堆疊封裝存在兩個明顯的缺點(diǎn),一是與之間的連接非常遠(yuǎn),二是每層芯片只能在四周進(jìn)行引線鍵合使得I/O 數(shù)較少。圖 28:基于 Wire Bonding 技術(shù)的 3D 堆疊封裝技術(shù)資料來源:申萬研究未來,TSV(Through Silicon Via,硅通孔)技術(shù)成為了替代Wire Bonding 技術(shù),成為 3D 封裝主流技術(shù)的必然選擇。TSV 技術(shù)使得連線長度縮短到了厚度,傳輸距離減

38、少到千分之一,可以實(shí)現(xiàn)復(fù)雜的多片全硅系統(tǒng)集成,可以顯著縮小 RC 延遲,提高計(jì)算速度,顯著降低噪聲、能耗和成本。TSV 制造流程主要分為六個步驟:1.在上打孔,2.在生成的形成絕緣層、阻擋層和層,3.在深鍍銅,4.把減薄到空的深度使銅柱下表面露出,5.把各層進(jìn)行堆疊,6.最終對堆疊好的多層進(jìn)行封裝完成基于TSV 技術(shù)的 3D封裝。圖 29:TSV 制造流程資料來源:申萬研究TSV 技術(shù)最初出現(xiàn)在了CIS 領(lǐng)域,最初CIS 采用陶瓷封裝,打線鍵合體積龐大,并且成本非常高占到整個產(chǎn)品的 30%。而采用 TSV 技術(shù)的 CIS 不僅封裝體積顯著下降,成本也明顯降低。因此,CIS 成為了最早采用 TS

39、V 技術(shù)的領(lǐng)域,后來采用硅中介層可以集成 DSP,實(shí)現(xiàn)了進(jìn)一步減小 CIS 尺寸的目的。圖 30:TSV 式 CIS 優(yōu)勢明顯圖 31:CIS 成為最早采用TSV 技術(shù)的領(lǐng)域資料來源:申萬研究資料來源:申萬研究當(dāng)前,TSV 技術(shù)應(yīng)用最為廣泛的是在 2.5D 封裝方法上,這是實(shí)現(xiàn)多封裝的基礎(chǔ)。所謂 2.5D 封裝方法,就是把用直徑約為 10um 的微型錫球貼合在一塊硅中介層上,同時使用直徑約為 100um 的普通倒裝錫球連接到基板上,硅中介層的正反兩面采用 TSV 技術(shù)打通?;?TSV 技術(shù)的 2.5D 封裝方法,有效改進(jìn)了由于上線寬與基板上線寬相差幾個數(shù)量級導(dǎo)致的對性能和功耗的影響,以及基板

40、上線寬過寬導(dǎo)致的布線擁堵。圖 32:基于 TSV 技術(shù)的 2.5D 封裝方法資料來源:申萬研究基于TSV 技術(shù)的 3D 封裝相對于 2.5D 封裝,不僅是在硅中介層上采用 TSV 技術(shù),而且在上也采用 TSV 技術(shù),使堆疊的能夠?qū)崿F(xiàn)垂直互幅減少 3D 封裝間的連接距離,真正實(shí)現(xiàn) 3D 封裝優(yōu)勢,是未來先進(jìn)封裝技術(shù)演進(jìn)方向。不過,目前這一封裝方法還處在技術(shù)導(dǎo)入期,高速滲透期的到來還要依賴 TSV 技術(shù)的繼續(xù)進(jìn)步和成本的降低。圖 33:基于 TSV 技術(shù)的 3D 封裝方法資料來源:申萬研究據(jù)Yole Developpement,3D TSV 封裝技術(shù)將在 2013 和 2014 年進(jìn)入高速滲透期,

41、滲透率有望從 2012 年的不到 1%到 2017 年的近 9%。3D TSV 晶圓產(chǎn)值將從2012 的40 億左右增長到2017 年的385 億,對應(yīng)的年復(fù)合增長率高達(dá)58%。一下增長到 2017 年的 90 億與之對應(yīng)的 3D TSV 封裝市場規(guī)模將從當(dāng)前的 10 億以上,年復(fù)合增長率更是高達(dá) 64%。3D TSV 技術(shù)的成熟和成本的降低將成為行業(yè)進(jìn)入“S 型曲線”拐點(diǎn)的關(guān)鍵影響。該技術(shù)一旦進(jìn)入高速增長期,潛在市場規(guī)模巨大,將給擁有該技術(shù)的封測廠帶來巨大的成長機(jī)會。這是未來集成電路封裝技術(shù)最值得關(guān)注的技術(shù)突破點(diǎn)之一。圖 34:2013 年 3D TSV 進(jìn)入高速滲透期圖 35:未來五年 3

42、D TSV 封裝復(fù)合增長率為 64%圖 36:未來半導(dǎo)體分工圖資料來源:拓?fù)渌?,申萬研究2.4 階段三:系統(tǒng)集成度快速隨著先進(jìn)封裝技術(shù)發(fā)展進(jìn)步不斷深化,現(xiàn)在基于這些先進(jìn)封裝技術(shù)已經(jīng)涌現(xiàn)出了多種組合形式。比較常見的有基于 TSV 技術(shù)的 2.5D 封裝 MCM(Multi chipModule,多模塊)組合形式和 3D 封裝 Stacked(堆疊)組合形式,以及封裝行再封裝的PiP(Package in Package)組合形式,和裝的PoP(Package on Package)組合形式。封裝上堆疊另一個封總體來說這些新的組合形式的出現(xiàn),使得原來對單進(jìn)行封裝的形式轉(zhuǎn)變進(jìn)行集成創(chuàng)造了條件。這為對

43、多個進(jìn)行同時封裝,從而為把具有不同功能的樣就可以通過對具有不同功能的單個進(jìn)行封裝來實(shí)現(xiàn)系統(tǒng)功能集成的目的,最終封裝好的能夠?qū)ν馓峁┫到y(tǒng)性功能,實(shí)現(xiàn)SiP(System in Package)封裝。圖 37:不同功能組合實(shí)現(xiàn)系統(tǒng)性功能集成資料來源:申萬研究SiP 封裝符合消費(fèi)電子輕薄化的趨勢潮流,通過不同組和的封裝方法把MPU、Memory、Logic IC、MEMS、og/RF、Passives 等具有不同功能的半導(dǎo)體元器件封裝在一起,對外提供一套整體解決方案,大大降低不同半導(dǎo)體元器件所占體積實(shí)現(xiàn)微型化。并且通過 SiP 封裝還能減少能耗,延長產(chǎn)品使用時間。從而顯著提高價值,這也將成為未來集成

44、電路行業(yè)演進(jìn)必然趨勢。圖 38:SiP 將成未來集成電路行業(yè)演進(jìn)必然趨勢資料來源:申萬研究國內(nèi)封裝與測試行業(yè)長電科技:國內(nèi)封測龍頭,技術(shù)實(shí)力領(lǐng)先長電科技是國內(nèi)封測行業(yè)龍頭,2013 年實(shí)現(xiàn)銷售收入超過 50 億元,較行業(yè)第二的企業(yè)至少高出 50%以上,在上市公司中更是遙遙領(lǐng)先。在全球封測市場中,長電科技 2009 年首次擠入全球前十之后一直穩(wěn)步,2013 年已經(jīng)排到了全球第六,全球市場份額更是從 2009 年的 2%增長到了 2013 年的 3.4%,有望沖擊全球第一陣營。圖 39:2013 年國內(nèi)上市封測企業(yè)收入比較圖 40:2013 年長電科技全球市占率已達(dá) 3.4%長電科技在規(guī)模優(yōu)勢的保

45、障下對先進(jìn)封裝工藝進(jìn)行了全面布局,綜合技術(shù)實(shí)力也是國內(nèi)領(lǐng)先,向全球龍頭封測大廠看齊。在先進(jìn)封裝技術(shù)時代來臨之際,公司有望成為獲益最大的國內(nèi)半導(dǎo)體封測廠之一。公司Coppillar Bum技術(shù)和基于 Bum的WLCSP 技術(shù)成熟,具有潛力的 TSV 技術(shù)國內(nèi)領(lǐng)先。2013 年長電 Bum出貨 69 萬片,同比增長 60%;當(dāng)前產(chǎn)能已經(jīng)增加到 8.3 萬片/月,預(yù)計(jì)年底之前產(chǎn)能還將擴(kuò)到 10 萬片/月。WLCSP 去年出貨量達(dá) 18 億顆,同比增長 28.5%,今年增長強(qiáng)勁,預(yù)計(jì)全年增長在 50%左右。公司TSV 技術(shù)已經(jīng)能夠?qū)崿F(xiàn) 1:10 的徑深比,技術(shù)實(shí)力國內(nèi)領(lǐng)先。圖 41:長電科技先進(jìn)封裝技

46、術(shù)全面布局資料來源:長電科技,申萬研究3.2 華天科技:三地布局完成,成本技術(shù)優(yōu)勢兼?zhèn)淙A天科技昆山、西安、天水三地生產(chǎn)利能力豐厚。布局完成,成本技術(shù)優(yōu)勢兼?zhèn)?,公司盈公司?jīng)過多年的努力,現(xiàn)在終于已經(jīng)完成了以昆山西鈦?zhàn)吒叨朔庋b先進(jìn)技術(shù)路線、西安華天中端封裝配套路線、天水華天昆山西鈦技術(shù)先進(jìn),是國內(nèi)第二家拿到 S封裝低成本路線的三地生產(chǎn)布局。case的公司,2010 年開始實(shí)現(xiàn)基于WLCSP 技術(shù)的 CIS 產(chǎn)品量產(chǎn),現(xiàn)在已經(jīng)實(shí)現(xiàn)產(chǎn)量 1.2 萬片/月;獨(dú)立掌握 TSV 技術(shù),并且Coppillar Bum技術(shù)也已經(jīng)研發(fā)完成,預(yù)計(jì)今年下半年有望實(shí)現(xiàn)量產(chǎn)。西安華天將為昆山新增 Bum產(chǎn)能配套完成后道

47、FC 產(chǎn)能建設(shè),并主要進(jìn)行 BGA、QFN 等中端封裝。天水華天地處封裝上成本優(yōu)勢顯著。天水市,人力能源成本較低,在進(jìn)行產(chǎn)品圖 42:華天科技三地布局完成資料來源:申萬研究華天科技憑借高中三地布局,在上市封測企業(yè)中具有成本與技術(shù)兼?zhèn)涞膬?yōu)勢。2013 年公司成為了國內(nèi)上市非常企業(yè)中創(chuàng)造利潤最高的企業(yè),實(shí)現(xiàn)了 2 億元的歸屬于母公司凈利潤,為股東創(chuàng)造了豐厚的受益。認(rèn)為公司在先進(jìn)封裝技術(shù)方面也已經(jīng)基本完成布局成長空間巨大,并且中低端產(chǎn)線地處中國西部成本優(yōu)勢顯著,未來能力穩(wěn)步可期。圖 43:2013 年國內(nèi)上市封測企業(yè)凈利潤比較產(chǎn)品供應(yīng)商。同時公司也憑借先進(jìn)成得了突破。WLCSP 技術(shù)在大客戶識別訂單

48、上獲圖 44:晶方科技是最早獲得 Scase的大陸封測廠資料來源:申萬研究晶方科技專注于先進(jìn)封裝技術(shù)封裝并且技術(shù)優(yōu)勢明顯,2013年實(shí)現(xiàn)凈利潤率34%,能力遠(yuǎn)遠(yuǎn)高于行業(yè)其它可比公司。公司未來成長路徑明確,預(yù)計(jì)今年下半年公司 12 寸產(chǎn)線開始量產(chǎn),公司將能夠提供更大像素的 CIS 產(chǎn)品;并且識別和MEMS 產(chǎn)品也將會盡快上量;未來安防與醫(yī)療領(lǐng)域也將為公司提供巨大的成長空間。圖 45:2013 年國內(nèi)上市封測企業(yè)凈利潤率比較通訊及射頻 IC 封測項(xiàng)目擬募資 7.9 億元,智能電源 IC 封裝擬募資 3.4 億元,補(bǔ)充流動1.5 億元。移動智能通訊及射頻 IC 封測項(xiàng)目是基于 FC、BGA 和 QFN 等中高端封裝技術(shù),達(dá)產(chǎn)后產(chǎn)能為 9.5 億塊,預(yù)計(jì)實(shí)現(xiàn)年收入和凈利潤 9.02 億和 9855 萬元;智能電源 IC封裝項(xiàng)目是基于 PDFN(沖壓雙列扁平無引線)封裝技術(shù),達(dá)產(chǎn)后產(chǎn)能為 12 億塊,預(yù)計(jì)實(shí)現(xiàn)年收入和凈利潤 2.16 億和 2194 萬元。圖 46:通富微電非公開增發(fā)項(xiàng)目募資情況圖 47:通富微電非公開增發(fā)項(xiàng)目收入與資料來

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論