基于51單片機(jī)貪吃蛇游戲設(shè)計(jì)資料_第1頁(yè)
基于51單片機(jī)貪吃蛇游戲設(shè)計(jì)資料_第2頁(yè)
基于51單片機(jī)貪吃蛇游戲設(shè)計(jì)資料_第3頁(yè)
基于51單片機(jī)貪吃蛇游戲設(shè)計(jì)資料_第4頁(yè)
基于51單片機(jī)貪吃蛇游戲設(shè)計(jì)資料_第5頁(yè)
已閱讀5頁(yè),還剩45頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

摘要本文設(shè)計(jì)了一款新穎的以單片機(jī)為控制核心的貪食蛇游戲系統(tǒng)。所設(shè)計(jì)的貪食蛇能完成移動(dòng)、吃食物和擺放游戲自動(dòng)輸出的食物、使之默認(rèn)出現(xiàn)3節(jié)蛇身、吃到每個(gè)隨機(jī)點(diǎn)數(shù)而得分等功能。硬件設(shè)計(jì)包括LEDW塊、鍵盤模塊、供電模塊驅(qū)動(dòng)模塊和下載模塊。軟件采用C語(yǔ)言編程,方便靈活,大大加快了軟件開(kāi)發(fā)速度,縮短了開(kāi)發(fā)周期,并且便于移植。為提高開(kāi)發(fā)效率和硬件穩(wěn)定性,采用了功能強(qiáng)大的硬件仿真軟件Proteus,依托該軟件提供的仿真環(huán)境搭配Keil作為軟件開(kāi)發(fā)調(diào)試環(huán)境進(jìn)行仿真調(diào)試成功。所設(shè)計(jì)的貪食蛇游戲系統(tǒng)輕巧便攜、老少皆宜,且成本較低,具有一定的市場(chǎng)前景。關(guān)鍵詞:貪吃蛇;單片機(jī);游戲AbstractThispaperdesignsanovelsingle-chipmicrocomputerasthesnakegamecontrolsystem.Thesnakecancompletemobile,foodandputthegameautomaticallyoutputoffood,whichappearsbydefault3snake,eateachrandompointsandscoringfunctions.ThehardwaredesignincludesLEDmodule,keyboardmodule,powersupplymoduleanddrivingmoduleanddownloadmodule.ThesoftwareisprogrammedwithClanguage,convenientandflexible,greatlyacceleratethespeedofsoftwaredevelopment,shortenthedevelopmentcycle,andeasytotransplant.Inordertoimprovethedevelopmentefficiencyandstabilityofthehardware,thepowerfulhardwaresimulationsoftwareProteus,basedonthesimulationenvironmentofthesoftwareprovidedwithKeilasthesoftwaredevelopmentenvironmentfordebuggingsimulationdebuggingsuccess.Snakegamesystemdesignofthelightandportable,suitableforallages,andthecostislow,hasacertainmarketprospects.Keywords:GreedySnake;51MCU;Game目錄TOC\o"1-5"\h\z第一章緒論1課題設(shè)計(jì)的背景與意義1貪食蛇游戲的發(fā)展及現(xiàn)狀2貪食蛇游戲發(fā)展歷史2我國(guó)單機(jī)游戲的現(xiàn)狀3課題設(shè)計(jì)的內(nèi)容與要求4課題設(shè)計(jì)的內(nèi)容4課題設(shè)計(jì)的要求4設(shè)計(jì)方案4硬件設(shè)計(jì)4軟件設(shè)計(jì)5第二章系統(tǒng)總體設(shè)計(jì)6系統(tǒng)基本組成及工作原理6系統(tǒng)的主要元器件介紹874HC138874HC1541074HC59512主控芯片單片機(jī)14單片機(jī)的介紹14單片機(jī)的應(yīng)用15單片機(jī)紅外無(wú)線17紅外遙控17紅外遙控發(fā)射18紅外遙控接收19紅外編碼標(biāo)準(zhǔn)19第三章系統(tǒng)硬件的設(shè)計(jì)21單片機(jī)控制模塊的設(shè)計(jì)21單片機(jī)的選擇21時(shí)鐘電路24復(fù)位電路26最小系統(tǒng)27供電電路設(shè)計(jì)27顯示模塊設(shè)計(jì)28點(diǎn)陣模塊28驅(qū)動(dòng)電路設(shè)計(jì)31行驅(qū)動(dòng)電路32列驅(qū)動(dòng)電路33掃描顯示過(guò)程34顯示模塊原理圖35下載模塊設(shè)計(jì)35第四章系統(tǒng)軟件的設(shè)計(jì)37軟件的整體設(shè)計(jì)思想37軟件開(kāi)發(fā)環(huán)境37keil軟件的介紹37軟件功能38軟件應(yīng)用流程39系統(tǒng)主函數(shù)的設(shè)計(jì)40按鍵檢測(cè)模塊42顯示模塊43分?jǐn)?shù)模塊44第五章系統(tǒng)調(diào)試46軟件調(diào)試46硬件調(diào)試46作品展示48總結(jié)49參考文獻(xiàn)50致謝51附錄一:原理圖I附錄二:原程序I第一章緒論“貪食蛇”又稱為“貪吃蛇”,是一種益智小游戲。具游戲規(guī)則比較簡(jiǎn)單,就是一條小蛇,不停地在屏即斃命并結(jié)束游戲。本設(shè)計(jì)以51系列單片機(jī)AT89C51為控制核心,以點(diǎn)陣液晶顯示模塊、按鍵為人機(jī)接口,實(shí)現(xiàn)了一個(gè)貪食蛇游戲機(jī)。課題設(shè)計(jì)的背景與意義隨著社會(huì)的發(fā)展,人們生活的步調(diào)日益加快,越來(lái)越多的人加入了全球化的世界。人們不再拘束一塊天地,加班,出差成了現(xiàn)代人不可避免的公務(wù)。而此時(shí)一款可以隨時(shí)隨地娛樂(lè)的游戲成了必需品。貪吃蛇這一游戲簡(jiǎn)單易行,操作方便,娛樂(lè)性較強(qiáng),吸引了不少人。這一款游戲緊緊地抓住了人們的心理,雖然簡(jiǎn)單,卻其樂(lè)無(wú)窮,在人們不斷追求更多的欲望下,該游戲給人們帶來(lái)了追逐的快感,以及成功后的滿足感,對(duì)于一直處于高壓下的現(xiàn)代人是很好的放松工具。當(dāng)前科學(xué)技術(shù)飛速發(fā)展,特別是微電子技術(shù),計(jì)算機(jī)軟件與應(yīng)用技術(shù)的發(fā)展,使得人們的日常生活豐富多彩。單片微型計(jì)算機(jī)(簡(jiǎn)稱單片機(jī))作為微型計(jì)算機(jī)家族的一員,以其獨(dú)特的結(jié)構(gòu),良好的穩(wěn)定性,便宜的價(jià)格在嵌入式領(lǐng)域廣泛應(yīng)用。與傳統(tǒng)的PC上設(shè)計(jì)的貪吃蛇游戲不同,本次作者利用Proteus硬件仿真軟件,采用單片機(jī)、液晶顯示屏、揚(yáng)聲器、按鍵等搭建硬件平臺(tái),C語(yǔ)言編程,實(shí)現(xiàn)便攜地貪吃蛇游戲。傳統(tǒng)的貪吃蛇游戲只有單純的吃豆子,得分,升級(jí)以后蛇運(yùn)動(dòng)的速度加快等功能。本次作者對(duì)貪吃蛇游戲進(jìn)行了升級(jí),出上述基本功能外,針對(duì)現(xiàn)有硬件條件,加入地圖選擇,游戲中途暫停與退出,各種背景音的播放(包括吃豆子背景音、錯(cuò)誤提示背景音、升級(jí)背景音、游戲結(jié)束背景音、游戲通關(guān)背景音等),背景音靜音與否的選擇等,使玩家的游戲體驗(yàn)更上一層樓。LED顯示屏是八十年代后期在全球迅速發(fā)展起來(lái)的新型信息顯示媒體,顯示屏由幾萬(wàn)甚至幾十萬(wàn)個(gè)半導(dǎo)體發(fā)光二極管像素點(diǎn)均勻排列組成。利用不同的材料可以制造不同色彩的LED象素點(diǎn)。目前應(yīng)用最廣的是紅色、綠色、黃色。而藍(lán)色和純綠色LED的開(kāi)發(fā)已經(jīng)達(dá)到了實(shí)用階段。LED顯示屏可以顯示變化的數(shù)字、文字、圖形圖像;不僅可以用于室內(nèi)環(huán)境還可以用于室外環(huán)境,具有投影儀、電視墻、液晶顯示屏無(wú)法比擬的優(yōu)點(diǎn)。在短短的十來(lái)年中,LED點(diǎn)陣顯示屏就以亮度高、工作電壓低、功耗小、小型化、壽命長(zhǎng)、耐沖擊和性能穩(wěn)定的優(yōu)點(diǎn)迅速成長(zhǎng)為平板顯示的主流產(chǎn)品,在信息顯示領(lǐng)域得到了廣泛的應(yīng)用。LED的發(fā)展前景極為廣闊,目前正朝著更高亮度、更高耐氣候性、更高的發(fā)光密度、更高的發(fā)光均勻性、可靠性、全色化方向發(fā)展。LED顯示屏的應(yīng)用涉及社會(huì)經(jīng)濟(jì)的許多領(lǐng)域,主要包括:(1)證券交易、金融信息顯示。(2)機(jī)場(chǎng)航班動(dòng)態(tài)信息顯示。(3)港口、車站旅客引導(dǎo)信息顯示。(4)體育場(chǎng)館信息顯示。(5)道路交通信息顯示。(6)調(diào)度指揮中心信息顯示。(7)郵政、電信、商場(chǎng)購(gòu)物中心等服務(wù)領(lǐng)域的業(yè)務(wù)宣傳及信息顯示。(8)廣告媒體新產(chǎn)品等。通過(guò)本次設(shè)計(jì)可以使我更加了解我國(guó)LED顯示屏的發(fā)展前景,以及更好的了解了LED顯示屏的主要功能和適用范圍,并且通過(guò)自己不懈的努力,對(duì)其工作原理和安裝過(guò)程更為了解,利用點(diǎn)陣屏幕設(shè)計(jì)游戲的一些思路,對(duì)一些電子電路知識(shí)更為精通。我想通過(guò)本次設(shè)計(jì)所取得成果是巨大的而深遠(yuǎn)的,首先對(duì)我以后的工作會(huì)有很大的幫助,其次是通過(guò)我自己的親身制作很的提高了我的動(dòng)手能力。貪食蛇游戲的發(fā)展及現(xiàn)狀貪食蛇游戲發(fā)展歷史貪吃蛇發(fā)明者是Jeremy蛇引誘夏娃吃了蘋果之后,就被貶為毒蟲(chóng),陰險(xiǎn)的象征。而蛇吃東西是整只動(dòng)物吞進(jìn)去的,更加顯得貪婪的樣子.大概在文藝復(fù)興的時(shí)候就有人發(fā)明的一種游戲,是現(xiàn)在貪吃蛇的前身。后來(lái)慢慢的發(fā)展就變成了今天的貪吃蛇了。蛇引誘夏娃吃了蘋果之后,就被貶為毒蟲(chóng),陰險(xiǎn)的象征。貪吃蛇是個(gè)悲劇游戲,無(wú)論玩家如何技術(shù)高超,最終結(jié)果都是小蛇掛了.貌似還含有哲理意義給人警示戒貪.貪吃蛇產(chǎn)生于1970年代中后期的計(jì)算機(jī)游戲。此類游戲在1990年代由于一些小屏幕設(shè)備引入而再度流行起來(lái),在現(xiàn)在的手機(jī)上基本都可安裝此小游戲。貪吃蛇游戲是一種簡(jiǎn)單的大眾的游戲,自從計(jì)算機(jī)實(shí)現(xiàn)以來(lái),深受廣大電腦玩家的喜愛(ài),做一個(gè)簡(jiǎn)單的貪吃蛇小游戲。貪吃蛇的核心算法時(shí)如何實(shí)現(xiàn)移動(dòng)和吃掉食物,沒(méi)有碰到食物的時(shí)候,把當(dāng)前運(yùn)動(dòng)方向上的下個(gè)節(jié)點(diǎn)入隊(duì),并以蛇節(jié)點(diǎn)的顏色繪制這個(gè)節(jié)點(diǎn),然后把頭指針?biāo)傅墓?jié)點(diǎn)出隊(duì),并以游戲框架內(nèi)部背景色重繪出隊(duì)的節(jié)點(diǎn),這樣就可以達(dá)到移動(dòng)的效果。而在吃到食物的時(shí)候,則只需把食物入隊(duì)即可。實(shí)現(xiàn)貪吃蛇的基本的蛇身移動(dòng)、吃到食物身體增長(zhǎng)、和碰到墻壁或自身死亡,能夠暫停和開(kāi)始。本次設(shè)計(jì)我將主要運(yùn)用C語(yǔ)言來(lái)完成。C語(yǔ)言是一種跨平臺(tái),適合于分布式計(jì)算環(huán)境的面向?qū)ο缶幊陶Z(yǔ)言,用C寫的應(yīng)用程序可移植性強(qiáng)、可靠性好、執(zhí)行型強(qiáng)等。我國(guó)單機(jī)游戲的現(xiàn)狀近年來(lái),因特網(wǎng)在國(guó)內(nèi)迅速普及,導(dǎo)致了游戲的高速發(fā)展。同樣手機(jī)的普及,導(dǎo)致了手機(jī)游戲市場(chǎng)呈現(xiàn)爆炸式的發(fā)展。尤其是國(guó)內(nèi)外巨大的手機(jī)擁有量,更是讓商家看到了商機(jī),手機(jī)游戲市場(chǎng)利潤(rùn)將會(huì)十分豐厚。面對(duì)潛力如此巨大的手機(jī)游戲市場(chǎng),各游戲開(kāi)發(fā)商、運(yùn)營(yíng)商、服務(wù)商以及手機(jī)終端廠商等都紛紛開(kāi)始了各自的應(yīng)戰(zhàn)準(zhǔn)備,以便搶占商機(jī)。各大手機(jī)以及游戲廠商開(kāi)始不斷的提開(kāi)手持設(shè)備在游戲方面的性能,同時(shí)還在操作系統(tǒng)方面采用開(kāi)放式的平臺(tái),方使用戶享受不同的游戲業(yè)務(wù)。而國(guó)內(nèi)游戲開(kāi)發(fā)商,如盛大、網(wǎng)易等公司,紛紛躋身手機(jī)游戲開(kāi)發(fā)商行列。新浪、搜狐等著名的門戶網(wǎng)站,也紛紛涉足手機(jī)游戲領(lǐng)域,相繼開(kāi)辟了各自的手機(jī)游戲頻道和欄目,其他一些游戲網(wǎng)站也都全面加快了手機(jī)游戲開(kāi)發(fā)的步伐。目前SU心司的J2MECLDC/MID哪境和高通公司的BREW(BinaryRuntimeEnvironmentforWireless)客戶端程序是國(guó)內(nèi)外游戲開(kāi)發(fā)的兩大主要平臺(tái)。中國(guó)電信業(yè)巨頭中國(guó)移動(dòng)建立了無(wú)線Java增值服務(wù)體系,推出了基于SU心司的J2ME環(huán)境的“百寶箱”業(yè)務(wù),包括游戲類、商務(wù)類、生活類等豐富的數(shù)據(jù)服務(wù)。中國(guó)移動(dòng)用戶只要使用支持Java的手機(jī),通過(guò)GPRS勺無(wú)線上網(wǎng)方式,訪問(wèn)移動(dòng)夢(mèng)網(wǎng)門戶的“百寶箱”服務(wù)菜單,就能方便地下載各種由Java語(yǔ)言編寫的應(yīng)用程序。而中國(guó)聯(lián)通與高通公司合作,采用高通公司的BREW■臺(tái)技術(shù),推出了“神奇寶典”業(yè)務(wù),中國(guó)聯(lián)通用戶可以從聯(lián)通公司的應(yīng)用下載服務(wù)器上以無(wú)線方式下載應(yīng)用程序。手機(jī)游戲成了電信增值業(yè)務(wù)中一項(xiàng)主要的應(yīng)用,在公交車等公共場(chǎng)合不時(shí)會(huì)看到拿著手機(jī)玩游戲的人。相比較早開(kāi)發(fā)的“貪吃蛇”、“俄羅斯方塊”等手機(jī)游戲,如今的手機(jī)游戲,無(wú)論是從視覺(jué)效果、聽(tīng)覺(jué)效果還是游戲的可玩性來(lái)說(shuō),都要精彩的多。在全球,移動(dòng)電話數(shù)量巨大,除美國(guó)之外,其他各個(gè)發(fā)達(dá)國(guó)家擁有手機(jī)的人數(shù)比擁有計(jì)算機(jī)的人數(shù)還多。在我國(guó),手機(jī)擁有量甚至超過(guò)了美國(guó)的人口數(shù)量,而且每個(gè)月手機(jī)數(shù)量還正以驚人的速度遞增著。手機(jī)市場(chǎng)的高速增加帶動(dòng)了手機(jī)游戲市場(chǎng)的急速膨脹,基于JAVAC等技術(shù)平臺(tái)的手機(jī)游戲市場(chǎng)規(guī)模紛紛呈現(xiàn)幾何增長(zhǎng)態(tài)勢(shì)。課題設(shè)計(jì)的內(nèi)容與要求課題設(shè)計(jì)的內(nèi)容本設(shè)計(jì)涉及到硬件部分和軟件部分,硬件部分采用主控芯片為STC89C512片74HC5952片74HC138t碼器,單片機(jī)P2口設(shè)置4個(gè)觸點(diǎn)按鍵,軟件設(shè)計(jì)程序關(guān)鍵在于表示蛇的圖形及蛇的移動(dòng)。用一個(gè)小矩形快表示蛇的一節(jié)身體,身體每長(zhǎng)一節(jié),增加一個(gè)矩形塊,蛇頭用倆節(jié)表示。移動(dòng)時(shí)必須從蛇頭開(kāi)始,所以蛇不能向相反的方向移動(dòng),如果不按任意鍵,蛇自行在當(dāng)前方向上前移,但按下有效方向鍵后,蛇頭朝著該方向移動(dòng),一步移動(dòng)一節(jié)身體,所以按下有效方向鍵后,先確定蛇頭的位置,而后蛇的身體隨蛇頭移動(dòng),圖形的實(shí)現(xiàn)是從蛇頭新位置開(kāi)始畫出蛇,這時(shí),由于未清屏的原因,原來(lái)的蛇的位置和新蛇的位置差一個(gè)單位,所以看起來(lái)蛇多一節(jié)身體,所以將蛇的最后一節(jié)用背景色覆蓋。食物的出現(xiàn)與消失也是畫矩形塊和覆蓋矩形塊。為了便于理解,定義兩個(gè)結(jié)構(gòu)體:食物與蛇。課題設(shè)計(jì)的要求1、電路要求通過(guò)使用單片機(jī)和點(diǎn)陣完成電路的各部分,并實(shí)現(xiàn)貪食蛇所需要的功能,通過(guò)仿真軟件實(shí)現(xiàn)對(duì)電路和軟件的測(cè)試與檢查。2、軟件要求通過(guò)使用KEIL軟件,使用C語(yǔ)言并結(jié)合硬件電路,實(shí)現(xiàn)硬件所需的功能,要求程序簡(jiǎn)單易懂,移植性好,執(zhí)行型強(qiáng),代碼效率高,無(wú)錯(cuò)誤,生成HEXCfc件并寫入芯片。設(shè)計(jì)方案硬件設(shè)計(jì)運(yùn)用單片機(jī)技術(shù)和C程序設(shè)計(jì)及其模擬電子技術(shù),通過(guò)點(diǎn)陣顯示屏顯示。需要使用的電路分為以下模塊,單片機(jī)最小系統(tǒng)圖、陣顯示屏電路、方向控制電路,三個(gè)部分組成。使用單片機(jī)作為控制核心,可以簡(jiǎn)化硬件電路。軟件設(shè)計(jì)采用軟件編程控制單片機(jī)實(shí)現(xiàn)硬件電路的功能,降低能耗,降低成本。軟件采用C語(yǔ)言編程,方便靈活,大大加快了軟件開(kāi)發(fā)速度,并且便于移植。為提高開(kāi)發(fā)效率和硬件穩(wěn)定性,依托該軟件提供的仿真環(huán)境搭配Keil作為軟件開(kāi)發(fā)調(diào)試環(huán)境進(jìn)行仿真調(diào)試成功。貪吃蛇的制作思路及原理。通過(guò)點(diǎn)陣屏點(diǎn)亮一個(gè)點(diǎn),然后再點(diǎn)亮旁邊的另一個(gè)點(diǎn),形成“蛇”,然后,再在點(diǎn)陣屏上面選一個(gè)點(diǎn)為蛇的食物,通過(guò)手動(dòng)按鍵使蛇移動(dòng),并判斷是否撞墻。并找到食物,并吞下食物,然后蛇變長(zhǎng),直到蛇咬住尾巴或者撞墻死亡,重新開(kāi)始。第二章系統(tǒng)總體設(shè)計(jì)系統(tǒng)基本組成及工作原理系統(tǒng)的大體結(jié)構(gòu)圖如如圖2-1所示,圖文顯示屏的硬件模塊基本結(jié)構(gòu)可以分為屏體和控制器和驅(qū)動(dòng)器三大部分:圖2-1系統(tǒng)框圖屏體部分主要是4個(gè)8X8的LED點(diǎn)陣組成的16X16的LED點(diǎn)陣和行列驅(qū)動(dòng)電路構(gòu)成。不論是圖形還是文字,都是控制與組成這些圖形或文字的各個(gè)點(diǎn)所在的位置相對(duì)應(yīng)的1£淵件發(fā)光。根據(jù)屏幕所需的平面面積大小,選擇一定數(shù)量的LED用點(diǎn)陣方式構(gòu)成圖形或文字,是非常靈活的,可以根據(jù)需要任意組合和變化,只要設(shè)計(jì)好合適的數(shù)據(jù)文件,每個(gè)LED發(fā)光器件占據(jù)數(shù)據(jù)中的一位,通過(guò)對(duì)點(diǎn)陣上全部的LED!行控制,在需要該LED器件發(fā)光時(shí),數(shù)據(jù)中相應(yīng)的位填1,否則填0,這樣依照所需顯示的圖形文字,按顯示屏的各行各列逐點(diǎn)填寫顯示數(shù)據(jù),就可以構(gòu)成一個(gè)顯示數(shù)據(jù)文件,得到比較滿意的顯示效果。由于文字的顯示點(diǎn)陣格式比較規(guī)范,可以采用現(xiàn)行計(jì)算機(jī)通用的字庫(kù)字模,如漢字的宋體、楷體和黑體等多種可供選擇的方案;其大小也可以有16X16、24X24、32X32、48X48等不同規(guī)格。因?yàn)楸敬萎厴I(yè)設(shè)計(jì)使用的四個(gè)8X8的LED點(diǎn)陣組成一個(gè)16X16得LED點(diǎn)陣,然后通過(guò)級(jí)聯(lián),把兩個(gè)16X16的LED!在一起組成了16X32的LED點(diǎn)整,分別顯示漢字,所以仍然可以使用16X16的字模。字體選擇了在16X16規(guī)格下,顯示的比較清晰、容易識(shí)別的宋體。從理論上說(shuō),不論顯示圖形還是文字,只要控制與組成這些圖形或文字的各個(gè)點(diǎn)所在的位置相對(duì)應(yīng)的LED器件發(fā)光,就可以得到我們想要的顯示結(jié)果,這種同時(shí)控制各個(gè)發(fā)光點(diǎn)亮滅的方法稱為靜態(tài)驅(qū)動(dòng)顯示方式。16x16的點(diǎn)陣共有256個(gè)發(fā)光二極管,顯然單片機(jī)沒(méi)有這么多的端口,如果我采用鎖存器來(lái)擴(kuò)展端口,按8位的鎖存器來(lái)計(jì)算,16x16的點(diǎn)陣需要256/8=32個(gè)鎖存器。這個(gè)數(shù)字很龐大,因?yàn)槲覀儍H僅是16x16的點(diǎn)陣,在實(shí)際應(yīng)用中的顯示屏往往要大得多,這樣在鎖存器上花的成本將是一個(gè)很龐大的數(shù)字。因此在實(shí)際應(yīng)用中的顯示屏幾乎都不采用這種設(shè)計(jì),而采用另外一種稱為動(dòng)態(tài)掃描的顯示方法。動(dòng)態(tài)掃描的意思簡(jiǎn)單地說(shuō)就是逐行輪流點(diǎn)亮,這樣掃描驅(qū)動(dòng)電路就可以實(shí)現(xiàn)多行(比如16行)的同名列共用一套驅(qū)動(dòng)器。具體就16x16的點(diǎn)陣來(lái)說(shuō),把所有同1行的發(fā)光管的陽(yáng)極連在一起,把所有同1列的發(fā)光管的陰極連在一起(共陽(yáng)極的接法),先送出對(duì)應(yīng)第一行發(fā)光管亮滅的數(shù)據(jù)并鎖存,然后選通第1行使其燃亮一定時(shí)間,然后熄滅;再送出第二行的數(shù)據(jù)并鎖存,然后選通第2行使其燃亮相同的時(shí)間,然后熄滅;以此類推,第16行之后,又重新燃亮第1行,反復(fù)輪回。當(dāng)這樣輪回的速度足夠快(每秒24次以上),由于人眼的視覺(jué)暫留現(xiàn)象,就能夠看到顯示屏上穩(wěn)定的圖形了。采用掃描方式進(jìn)行顯示時(shí),每一行有一個(gè)行驅(qū)動(dòng)器,各行的同名列共用一個(gè)驅(qū)動(dòng)器。顯示數(shù)據(jù)通常存儲(chǔ)在單片機(jī)的存儲(chǔ)器中,按8位一個(gè)字節(jié)的形式順序排放。顯示時(shí)要把一行中各列的數(shù)據(jù)都傳送到相應(yīng)的列驅(qū)動(dòng)器上去,這就存在一個(gè)顯示數(shù)據(jù)傳輸?shù)膯?wèn)題。從控制電路到列驅(qū)動(dòng)器的數(shù)據(jù)傳輸可以采用并列方式或串行方式。顯然,采用并行方式時(shí),從控制電路到列驅(qū)動(dòng)器的線路數(shù)量大,相應(yīng)的硬件數(shù)目多。當(dāng)列數(shù)很多時(shí),并列傳輸?shù)姆桨甘遣豢扇〉摹2捎糜眯袀鬏數(shù)姆椒?,控制電路可以只用一根信?hào)線,將列數(shù)據(jù)一位一位傳往列驅(qū)動(dòng)器,在硬件方面無(wú)疑是十分經(jīng)濟(jì)的。但是,串行傳輸過(guò)程較長(zhǎng),數(shù)據(jù)按順序一位一位地輸出給列驅(qū)動(dòng)器,只有當(dāng)一行的各列數(shù)據(jù)都以傳輸?shù)轿恢?,這一行的各列才能并行地進(jìn)行顯示。這樣,對(duì)于一行的顯示過(guò)程就可以分解成列數(shù)據(jù)準(zhǔn)備(傳輸)和列數(shù)據(jù)顯示兩部分。對(duì)于串行傳輸方式來(lái)說(shuō),列數(shù)據(jù)準(zhǔn)備時(shí)間可能相當(dāng)長(zhǎng),在行掃描周期確定的情況下留給行顯示的時(shí)間就太少了,以致影響到LED的亮度。解決串行傳輸中列數(shù)據(jù)準(zhǔn)備和列數(shù)據(jù)顯示的時(shí)間矛盾問(wèn)題,可以采用重疊處理的方法。即在顯示本行各列數(shù)據(jù)的同時(shí),傳送下一列數(shù)據(jù)。為了達(dá)到重疊處理的目的,列數(shù)據(jù)的顯示就需要具有所存功能。經(jīng)過(guò)上述分析,就可以歸納出列驅(qū)動(dòng)器電路應(yīng)具有的功能。對(duì)于列數(shù)據(jù)準(zhǔn)備來(lái)說(shuō),它應(yīng)能實(shí)現(xiàn)用入并處的移位功能,對(duì)于列數(shù)據(jù)顯示來(lái)說(shuō),應(yīng)具有并行鎖存的功能。這樣,本行已準(zhǔn)備好的數(shù)據(jù)打入并行鎖存器進(jìn)行顯示時(shí),用并移位寄存器就可以準(zhǔn)備下一行的列數(shù)據(jù),而不會(huì)影響本行的顯示??刂破鞑糠种饕菃纹瑱C(jī)及其外圍電路。由16行16列組成的16X16顯示屏其LED發(fā)光器件數(shù)量相當(dāng)大,不宜使用靜態(tài)顯示驅(qū)動(dòng)電路,而采用多行的同名列共用一套列驅(qū)動(dòng)器??刂齐娐坟?fù)責(zé)有序的選通各行,在選通每一列之前還要把該列各行的數(shù)據(jù)準(zhǔn)備好。這樣,這一行上的LED發(fā)光器件就可以根據(jù)列數(shù)據(jù)進(jìn)行顯示。這種時(shí)序控制電路,一般都采用單片機(jī)實(shí)現(xiàn)??刂齐娐凡捎脝纹瑱C(jī),主要負(fù)責(zé)控制存儲(chǔ)顯示數(shù)據(jù)模塊、安排控制信號(hào)的定時(shí)與順序、控制驅(qū)動(dòng)LED顯示點(diǎn)陣的電路等。驅(qū)動(dòng)電路,則分為行驅(qū)動(dòng)電路和列驅(qū)動(dòng)電路,用來(lái)驅(qū)動(dòng)LED顯示點(diǎn)陣。綜上所述,系統(tǒng)邏輯結(jié)構(gòu)如圖2-2所示。圖2-2系統(tǒng)邏輯結(jié)構(gòu)系統(tǒng)的主要元器件介紹74HC13874HC138是一款高速COM虢件,74HC13%〕腳兼容低功耗肖特基TTL(LSTTD系列。74HC13奔碼器可接受3位二進(jìn)制加權(quán)地址輸入(A0,A1和A2),并當(dāng)使能時(shí),提供8個(gè)互斥的低有效輸出(Y0至Y7)。74HC138B有3個(gè)使能輸入端:兩個(gè)低有效(E1和E2)和一個(gè)高有效(E3)。除非E1和E2置低且E3置高,否則74HC138W保持所有輸出為高。利用這種復(fù)合使能特性,僅需4片74HC13的片和1個(gè)反相器,即可輕松實(shí)現(xiàn)并行擴(kuò)展,組合成為一個(gè)1-32(5線到32線)譯碼器。任選一個(gè)低有效使能輸入端作為數(shù)據(jù)輸入,而把其余的使能輸入端作為選通端,則74HC13處可充當(dāng)一個(gè)8輸出多路分配器,未使用的使能輸入端必須保持綁定在各自合適的高有效或低有效狀態(tài)。譯碼過(guò)程實(shí)際上是一種翻譯過(guò)程,即編碼的逆過(guò)程。譯碼器的輸入是n位二值代碼,輸出是m個(gè)表征代碼原意的狀態(tài)信號(hào)(或另一種代碼)。小于等于2的n次方,即譯碼器輸入線比輸出線要少。譯碼器按其功能可分為三大類:(1)變量譯碼器:將輸入的二進(jìn)制代碼還原為原始輸入信號(hào)。例如有兩位二進(jìn)制代碼(0,1),可經(jīng)譯碼器還原為四個(gè)信號(hào)狀態(tài)(0,0)(0,1)(1,0)(1,1)(2)代碼變換譯碼器:用于將一個(gè)數(shù)據(jù)的不同代碼之間的相互轉(zhuǎn)換。例如二-十進(jìn)制譯碼器可將8421碼轉(zhuǎn)換為十個(gè)狀態(tài)。(3)顯示譯碼器:將數(shù)字、文字或符號(hào)的代碼還原成相應(yīng)的數(shù)字、文字、符號(hào)并顯示出來(lái)的電路74HC1383-8線譯碼器/CD74HC138,CD74HC238口CD74HCT138CD74HCT238高速硅柵CMOS解碼器,適合內(nèi)存地址解碼或數(shù)據(jù)路由應(yīng)用。74HC138作用原理于高性能的存貯譯碼或要求傳輸延遲時(shí)間短的數(shù)據(jù)傳輸系統(tǒng),在高性能存貯器系統(tǒng)中,用這種譯碼器可以提高譯碼系統(tǒng)的效率。將快速賦能電路用于高速存貯器時(shí),譯碼器的延遲時(shí)間和存貯器的賦能時(shí)間通常小于存貯器的典型存取時(shí)間,這就是說(shuō)由肖特基鉗位的系統(tǒng)譯碼器所引起的有效系統(tǒng)延遲可以忽略不計(jì)。74HC138按照三位二進(jìn)制輸入碼和賦能輸入條件,從8個(gè)輸出端中譯出一個(gè)低電平輸出。兩個(gè)低電平有效的賦能輸入端和一個(gè)高電平有效的賦能輸入端減少了擴(kuò)展所需要的外接門或倒相器,擴(kuò)展成24線譯碼器不需外接門;擴(kuò)展成32線譯碼器,只需要接一個(gè)外接倒相器。在解調(diào)器應(yīng)用中,賦能輸入端可用作數(shù)據(jù)輸入端功能表如表2-1所小。1、低靜態(tài)電流:80mA最大2、低輸入電流:1mA最大3、8位用行,并行輸出移位寄存器與存儲(chǔ)4、寬工作電壓范圍:為2V到6V5、級(jí)聯(lián)6、移位寄存器具有直接清除7、保證移頻:DC至30兆赫正常使用時(shí)的典型參數(shù):1、電源電壓(Vcc):2?6V2、直流輸入/輸出電壓:0?VccV3、工作環(huán)境溫度:—40?85c4、輸入上升/下降延時(shí):VCC=2.0V1000nsVCC=4.5V500nsVCC=6.0V400ns表2-174HC138功能表74HC13874HCT138T1TRUTHTABL瞋值功能表INPUTS輸入Outputs輸出ENABLE使能ADDRESS址E3E2E1A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7XXHXXXHHHHHHHHLXXXXXHHHHHHHHXHXXXXHHHHHHHHHLLLLLLHHHHHHHHLLLLHHLHHHHHHHLLLHLHHLHHHHHHLLLHHHHHLHHHHHLLHLLHHHHLHHHHLLHLHHHHHHLHHHLLHHLHHHHHHLHHLLHHHHHHHHHHL2.2.274HC1544線—16線譯碼器簡(jiǎn)要說(shuō)明:74HC154為4線—16線譯碼器,其主要電特性的典型值如下:當(dāng)選通端(G1、G2)均為低電平時(shí),可將地址端(ABCD的二進(jìn)制編碼在一個(gè)對(duì)應(yīng)的輸出端,以低電平譯出。若將G1和G2中的一個(gè)作為數(shù)據(jù)輸入端,由ABCD寸輸出尋址,74HC154還可作1線—16線數(shù)據(jù)分配器。74HC154其中最外面的那些數(shù)字和字母是外部功能引腳。靠近內(nèi)部的數(shù)字,為管腳的分部情況,功能表如表2-2。74HC154是一款高速CMOSS件,74HC154引腳兼容低功耗肖特基TTL(LSTTD系列。74HC154譯碼器可接受4位高有效二進(jìn)制地址輸入,并提供16個(gè)互斥的低有效輸出。74HC154勺兩個(gè)輸入使能門電路可用于譯碼器選通,以消除輸出端上的通常譯碼“假信號(hào)”,也可用于譯碼器擴(kuò)展。該使能門電路包含兩個(gè)“邏輯與”輸入,必須置為低以便使能輸出端。任選一個(gè)使能輸入端作為數(shù)據(jù)輸入,74HC154可充當(dāng)一個(gè)1-16的多路分配器。當(dāng)其余的使能輸入端置低時(shí),地址輸出將會(huì)跟隨應(yīng)用的狀態(tài)。引出端符號(hào):ABCD譯碼地址輸入端(低電平有效)G幺G2選通端(低電平有效)0?15輸出端(低電平有效)1、電源靜態(tài)電流:80毫安(74H。2、低輸入電流:1mA最大3、三寬電源電壓范圍:2-6V的正常使用時(shí)的典型參數(shù):1、電源電壓(Vcc):2?6V2、直流輸入/輸出電壓:0?VccV3、工作環(huán)境溫度:—40?85c4、輸入上升/下降延時(shí):VCC=2.0V1000nsVCC=4.5V500nsVCC=6.0V400ns

表2-274HC154真值表輸入低電平輸出控制譯碼值G1G2DCBALLLLLL0LLLLLH1LLLLHL2LLLLHH3LLLHLL4LLLHLH5LLLHHL6LLLHHH7LLHLLL8LLHLLH9LLHLHL10LLLLHH11LLHHLL12LLHHLH13LLHHHL14LLHHHH15LHHHLL-2.2.374HC59574HC59腿硅結(jié)構(gòu)的CMOSS件,兼容低電壓TTL電路,遵守JEDECl^t。74HC59腿具有8位移位寄存器和一個(gè)存儲(chǔ)器,三態(tài)輸出功能。移位寄存器和存儲(chǔ)器是分別的時(shí)鐘。數(shù)據(jù)在SHcp(移位寄存器時(shí)鐘輸入)的上升沿輸入到移位寄存器中,在STcp(存儲(chǔ)器時(shí)鐘輸入)的上升沿輸入到存儲(chǔ)寄存器中去。如果兩個(gè)時(shí)鐘連在一起,則移位寄存器總是比存儲(chǔ)寄存器早一個(gè)脈沖。移位寄存器有一個(gè)用行移位輸入(Ds),和一個(gè)用行輸出(Q7),和一個(gè)異步的低電平復(fù)位,存儲(chǔ)寄存器有一個(gè)并行8位的,具備三態(tài)的總線輸出,當(dāng)使能OE時(shí)(為低電平),存儲(chǔ)寄存器的數(shù)據(jù)輸出到總線。8位串行輸入/輸出或者并行輸出移位寄存器,具有高阻關(guān)斷狀態(tài),三態(tài)。特點(diǎn)簡(jiǎn)述:1、低靜態(tài)電流:80mA最大2、低輸入電流:1mA最大3、8位用行,并行輸出移位寄存器與存儲(chǔ)4、寬工作電壓范圍:為2V到6V5、級(jí)聯(lián)6、移位寄存器具有直接清除7、保證移頻:DC至30兆赫正常使用時(shí)的典型參數(shù):1、電源電壓(Vcc):2?6V2、直流輸入/輸出電壓:0?VccV3、工作環(huán)境溫度:—40?85c4、輸入上升/下降延時(shí):VCC=2.0V1000nsVCC=4.5V500nsVCC=6.0V400ns74HC595W3層結(jié)構(gòu):第一層為移位D觸發(fā)器;第二層為鎖存D觸發(fā)器;第三層為輸出態(tài)門;當(dāng)復(fù)位信號(hào)為0時(shí),移位D觸發(fā)器清0;當(dāng)移位脈沖從L->H時(shí),第一個(gè)移位D觸發(fā)器的Q=D其它的Qn=Qn-1當(dāng)鎖存脈沖從0->1時(shí),第二層為鎖存D觸發(fā)器的輸出=/輸入;當(dāng)OE=1時(shí),595的輸出為高阻態(tài);當(dāng)OE=0寸,595的輸出為第二層為鎖存D觸發(fā)器的輸出的反相;74HC595勺數(shù)據(jù)端:QA--QH:八位并行輸出端,可以接點(diǎn)陣的8歹1」0QH':級(jí)聯(lián)輸出端。將它接下一個(gè)595的SI端。SI:串行數(shù)據(jù)輸入端。74HC595勺控制端說(shuō)明:RESET復(fù)位信號(hào)。shitfclock:移位時(shí)鐘。serialdatainput:串行數(shù)據(jù)輸入。outputenable:輸出使能。latchclock:鎖存時(shí)鐘。/SCLR(10腳):低電平時(shí)將移位寄存器的數(shù)據(jù)清零。通常將它接Vcc。SCK(11腳):上升沿時(shí)數(shù)據(jù)寄存器的數(shù)據(jù)移位。QA-->QB-->QC-->...-->QH;下降沿移位存器數(shù)據(jù)不變。(脈沖寬度:5V時(shí),大于幾十納秒就行了。通常都選微秒級(jí))RCK(12腳):上升沿時(shí)移位寄存器的數(shù)據(jù)進(jìn)入數(shù)據(jù)存儲(chǔ)寄存器,下降沿時(shí)存儲(chǔ)寄存器數(shù)據(jù)不變。通常將RCKS為低電平,當(dāng)移位結(jié)束后,在RCKS產(chǎn)生一個(gè)正脈沖(5V時(shí),大于幾十納秒就行了。通常都選微秒級(jí)),更新顯示數(shù)據(jù)。/G(13腳):高電平時(shí)禁止輸出(高阻態(tài))。如果單片機(jī)的引腳不緊張,用一個(gè)引腳控制它,可以方便地產(chǎn)生閃爍和熄滅效果。比通過(guò)數(shù)據(jù)端移位控制要省時(shí)省力。注:74HC16聿口74HC595ft能相仿,都是8位串行輸入轉(zhuǎn)并行輸出移位寄存器。74HC164勺驅(qū)動(dòng)電流(25mA)比74HC595(35mA)要小,14腳封裝,體積也小一些。74HC595的主要優(yōu)點(diǎn)是具有數(shù)據(jù)存儲(chǔ)寄存器,在移位的過(guò)程中,輸出端的數(shù)據(jù)可以保持不變。這在串行速度慢的場(chǎng)合很有用處,數(shù)碼管沒(méi)有閃爍感。與164只有數(shù)據(jù)清零端相比,595還多有輸出端時(shí)能/禁止控制端,可以使輸出為高阻態(tài)。功能表如表2-3。表2-374HC595真值表輸入輸出功能SHCPSTCPOEMRDSQ7QNXXLLXLNCMRM氐電平時(shí)影響僅移位寄存器XTLLXLL空移位寄存器輸出寄存器XXHLXLZ清空移位寄存器并行輸出高組態(tài)TXLHHQ6NC邏輯高電平移入寄存器0XTLHXNCON寄存器內(nèi)容到達(dá)并保持輸出LHXQ6ON內(nèi)容移入先前寄存器內(nèi)容保持輸出主控芯片單片機(jī)單片機(jī)的介紹單片機(jī)是一種集成電路芯片,是采用超大規(guī)模集成電路技術(shù)把具有數(shù)據(jù)處理能力的中央處理器CPU隨機(jī)存儲(chǔ)器RAM只讀存儲(chǔ)器ROM多種I/O口和中斷系統(tǒng)、定時(shí)器/計(jì)數(shù)器等功能(可能還包括顯示驅(qū)動(dòng)電路、脈寬調(diào)制電路、模擬多路轉(zhuǎn)換器、A/D轉(zhuǎn)換器等電路)集成到一塊硅片上構(gòu)成的一個(gè)小而完善的微型計(jì)算機(jī)系統(tǒng),在工業(yè)控制領(lǐng)域廣泛應(yīng)用。從上世紀(jì)80年代,由當(dāng)時(shí)的4位、8位單片機(jī),發(fā)展到現(xiàn)在的300M的高速單片機(jī)單片微型計(jì)算機(jī)簡(jiǎn)稱單片機(jī),是典型的嵌入式微控制器(MicrocontrollerUnit),單片機(jī)芯片常用英文字母的縮寫MCUfe示單片機(jī),單片機(jī)又稱單片微控制器,它不是完成某一個(gè)邏輯功能的芯片,而是把一個(gè)計(jì)算機(jī)系統(tǒng)集成到一個(gè)芯片上。單片機(jī)由運(yùn)算器、控制器、存儲(chǔ)器、輸入輸出設(shè)備構(gòu)成,相當(dāng)于一個(gè)微型的計(jì)算機(jī)(最小系統(tǒng)),和計(jì)算機(jī)相比,單片機(jī)缺少了外圍設(shè)備等。概括的講,一塊芯片就成了一臺(tái)計(jì)算機(jī)。它的體積小、質(zhì)量輕、價(jià)格便宜、為學(xué)習(xí)、應(yīng)用和開(kāi)發(fā)提供了便利條件。同時(shí),學(xué)習(xí)使用單片機(jī)是了解計(jì)算機(jī)原理與結(jié)構(gòu)的最佳選擇。它最早是被用在工業(yè)控制領(lǐng)域。由于單片機(jī)在工業(yè)控制領(lǐng)域的廣泛應(yīng)用,單片機(jī)由僅有CPU的專用處理器芯片發(fā)展而來(lái)。最早的設(shè)計(jì)理念是通過(guò)將大量外圍設(shè)備和CPU集成在一個(gè)芯片中,使計(jì)算機(jī)系統(tǒng)更小,更容易集成進(jìn)復(fù)雜的而對(duì)體積要求嚴(yán)格的控制設(shè)備當(dāng)中。INTEL的8080是最早按照這種思想設(shè)計(jì)出的處理器,當(dāng)時(shí)的單片機(jī)都是8位或4位的。其中最成功的是INTEL的8051,止匕后在8051上發(fā)展出了MCS5保列單片機(jī)系統(tǒng)。因?yàn)楹?jiǎn)單可靠而性能不錯(cuò)獲得了很大的好評(píng)。盡管2000年以后ARME經(jīng)發(fā)展出了32位的主頻超過(guò)300M的高端單片機(jī),直到現(xiàn)在,基于8051的單片機(jī)還在廣泛的使用。在很多方面單片機(jī)比專用處理器更適合應(yīng)用于嵌入式系統(tǒng),因此它得到了廣泛的應(yīng)用。事實(shí)上單片機(jī)是世界上數(shù)量最多處理器,隨著單片機(jī)家族的發(fā)展壯大,單片機(jī)和專用處理器的發(fā)展便分道揚(yáng)鍍?,F(xiàn)代人類生活中所用的幾乎每件有電子器件的產(chǎn)品中都會(huì)集成有單片機(jī)。手機(jī)、電話、計(jì)算器、家用電器、電子玩具、掌上電腦以及鼠標(biāo)等電子產(chǎn)品中都含有單片機(jī)。汽車上一般配備40多片單片機(jī),復(fù)雜的工業(yè)控制系統(tǒng)上甚至可能有數(shù)百片單片機(jī)在同時(shí)工作,單片機(jī)的數(shù)量遠(yuǎn)遠(yuǎn)超過(guò)PC機(jī)和其他計(jì)算機(jī)的總和。單片機(jī)的應(yīng)用單片機(jī)滲透到我們生活的各個(gè)領(lǐng)域,幾乎很難找到哪個(gè)領(lǐng)域沒(méi)有單片機(jī)的蹤跡。導(dǎo)彈的導(dǎo)航裝置,飛機(jī)上各種儀表的控制,計(jì)算機(jī)的網(wǎng)絡(luò)通訊與數(shù)據(jù)傳輸,工業(yè)自動(dòng)化過(guò)程的實(shí)時(shí)控制和數(shù)據(jù)處理,廣泛使用的各種智能IC卡,民用豪華轎車的安全保障系統(tǒng),錄像機(jī)、攝像機(jī)、全自動(dòng)洗衣機(jī)的控制,以及程控玩具、電子寵物等等,這些都離不開(kāi)單片機(jī)。更不用說(shuō)自動(dòng)控制領(lǐng)域的機(jī)器人、智能儀表、醫(yī)療器械以及各種智能機(jī)械了。因此,單片機(jī)的學(xué)習(xí)、開(kāi)發(fā)與應(yīng)用將造就一批計(jì)算機(jī)應(yīng)用與智能化控制的科學(xué)家、工程師。單片機(jī)廣泛應(yīng)用于儀器儀表、家用電器、醫(yī)用設(shè)備、航空航天、專用設(shè)備的智能化管理及過(guò)程控制等領(lǐng)域,大致可分如下幾個(gè)范疇:1、智能控制單片機(jī)體積小耗低、控制功能強(qiáng)、擴(kuò)展靈活、微型化和使用方便等優(yōu)點(diǎn),廣泛應(yīng)于儀器儀表中,結(jié)合不同類型的傳感器,可實(shí)現(xiàn)諸如電壓、電流、功率、頻率、濕度、溫度、流量、速度、厚度、角度、長(zhǎng)度、硬度、元素、壓力等物理量的測(cè)量。采用單片機(jī)控制使得儀器儀表數(shù)字化、智能化、微型化,且功能比起采電子或數(shù)字電路更加強(qiáng)大。例如精密的測(cè)量設(shè)備(電壓表、功率計(jì),示波器,各種分析儀)。單片機(jī)具有體積小、控制功能強(qiáng)、功耗低、環(huán)境適應(yīng)能力強(qiáng)、擴(kuò)展靈活和使用方便等優(yōu)點(diǎn),用單片機(jī)可以構(gòu)成形式多樣的控制系統(tǒng)、數(shù)據(jù)采集系統(tǒng)、通信系統(tǒng)、信號(hào)檢測(cè)系統(tǒng)、無(wú)線感知系統(tǒng)、測(cè)控系統(tǒng)、機(jī)器人等應(yīng)用控制系統(tǒng)。例如工廠流水線的智能化管理,電梯智能化控制、各種報(bào)警系統(tǒng),與計(jì)算機(jī)聯(lián)網(wǎng)構(gòu)成二級(jí)控制系統(tǒng)等。2、家電電器家用電器廣泛采用了單片機(jī)控制,從電飯煲、洗衣機(jī)、電冰箱、空調(diào)機(jī)、彩電、其他音響視頻器材、再到電子秤量設(shè)備和白色家電等。3、網(wǎng)絡(luò)和通信現(xiàn)代的單片機(jī)普遍具備通信接口,可以很方便地與計(jì)算機(jī)進(jìn)行數(shù)據(jù)通信,為在計(jì)算機(jī)網(wǎng)絡(luò)和通信設(shè)備間的應(yīng)用提供了極好的物質(zhì)條件,通信設(shè)備基本上都實(shí)現(xiàn)了單片機(jī)智能控制,從手機(jī),電話機(jī)、小型程控交換機(jī)、樓宇自動(dòng)通信呼叫系統(tǒng)、列車無(wú)線通信、再到日常工作中隨處可見(jiàn)的移動(dòng)電話,集群移動(dòng)通信,無(wú)線電對(duì)講機(jī)等。4、設(shè)備領(lǐng)域單片機(jī)在醫(yī)用設(shè)備中的用途亦相當(dāng)廣泛,例如醫(yī)用呼吸機(jī),各種分析儀,監(jiān)護(hù)儀,超聲診斷設(shè)備及病床呼叫系統(tǒng)等等。5、模塊化系統(tǒng)某些專用單片機(jī)設(shè)計(jì)用于實(shí)現(xiàn)特定功能,從而在各種電路中進(jìn)行模塊化應(yīng)用,而不要求使用人員了解其內(nèi)部結(jié)構(gòu)。如音樂(lè)集成單片機(jī),看似簡(jiǎn)單的功能,微縮在純電子芯片中(有別于磁帶機(jī)的原理),就需要復(fù)雜的類似于計(jì)算機(jī)的原理。如:音樂(lè)信號(hào)以數(shù)字的形式存于存儲(chǔ)器中(類似于ROM,由微控制器讀出,轉(zhuǎn)化為模擬音樂(lè)電信號(hào)(類似于聲卡)。在大型電路中,這種模塊化應(yīng)用極大地縮小了體積,簡(jiǎn)化了電路,降低了損壞、錯(cuò)誤率,也方便于更換。6、汽車電子單片機(jī)在汽車電子中的應(yīng)用非常廣泛,例如汽車中的發(fā)動(dòng)機(jī)控制器,基于CAN總線的汽車發(fā)動(dòng)機(jī)智能電子控制器、GPS導(dǎo)航系統(tǒng)、abs防抱死系統(tǒng)、制動(dòng)系統(tǒng)、胎壓檢測(cè)等。止匕外,單片機(jī)在工商、金融、科研、教育、電力、通信、物流和國(guó)防航空航天等領(lǐng)域都有著十分廣泛的用途。單片機(jī)紅外無(wú)線紅外遙控紅外遙控系統(tǒng)是集中集光、電于一體的系統(tǒng)。其工作原理是用戶按鍵信號(hào)經(jīng)單片機(jī)編碼處理后轉(zhuǎn)化為脈沖信號(hào),經(jīng)由紅外發(fā)射頭發(fā)送出去,接收端由紅外一體化接收頭實(shí)現(xiàn)對(duì)接收信號(hào)的放大解調(diào)并還原為數(shù)據(jù)流,經(jīng)由單片機(jī)解碼后對(duì)相關(guān)IO口進(jìn)行操作,從而完成整個(gè)遙控操作。整個(gè)系統(tǒng)主要是由電源電路、紅外發(fā)射電路、紅外接收電路、LED顯示電路等部分組成。系統(tǒng)硬件由以下幾部分組成:紅外數(shù)據(jù)發(fā)射電路,鍵盤采用普通按鍵鍵盤,按鍵統(tǒng)一接在單片機(jī)P0口。整體設(shè)計(jì)思路為:根據(jù)掃描到不同的按鍵值對(duì)發(fā)射脈沖編碼賦值后單片機(jī)將按照數(shù)據(jù)處理要求從P3.5輸出控制脈沖與T0產(chǎn)生的8KHz的載波(周期是26us)進(jìn)行調(diào)制,經(jīng)NPN三極管對(duì)信號(hào)放大驅(qū)動(dòng)紅外發(fā)光管將控制信號(hào)發(fā)送出去。紅外數(shù)據(jù)接收則是采用HS38B一體化紅外接收頭,內(nèi)部集成紅外接收、數(shù)據(jù)采集、解碼的功能,只要在接收端INT0檢測(cè)頭信號(hào)低電平的到來(lái),就可完成對(duì)整個(gè)串行的信號(hào)進(jìn)行分析得出當(dāng)前控制指令的功能。然后根據(jù)所得的指令去操作相應(yīng)的用電器件工作,如圖2-3所示。

圖2-3系統(tǒng)總框圖紅外遙控發(fā)射紅外遙控發(fā)射部分系統(tǒng)框圖如圖2-4。發(fā)送端采用單片機(jī)的定時(shí)中斷功能,由定時(shí)器T0產(chǎn)生周期為26us的矩形脈沖,即每隔13us定時(shí)器T0產(chǎn)生中斷輸出一個(gè)相反的信號(hào)使單片機(jī)輸出端產(chǎn)生周期為38KHz的脈沖信號(hào)。系統(tǒng)通過(guò)直連單片機(jī)的按鍵獲取用戶遙控信息,經(jīng)按鍵掃描確認(rèn),然后交由單片機(jī)對(duì)將要發(fā)射數(shù)據(jù)進(jìn)行整理,將待發(fā)送的二進(jìn)制信號(hào)編碼調(diào)制在38kHz脈沖基波上,生成脈沖發(fā)射信號(hào),最后通過(guò)紅外發(fā)射管發(fā)射紅外信號(hào)。圖2-4紅外遙控發(fā)射電路框圖紅外遙控接收紅外遙控接收電路框圖見(jiàn)圖2-5所示。紅外接收端普遍采用價(jià)格便宜,性能可靠的一體化紅外接收頭(HS38B,它接收紅外信號(hào)頻率為38KHz,周期約26^s)0它能同時(shí)對(duì)信號(hào)進(jìn)行放大、檢波、整形,得至UTTL電平的編碼信號(hào)。紅外接收頭收到信號(hào)后單片機(jī)立即產(chǎn)生中斷,開(kāi)始接收紅外信號(hào)。接收到的信號(hào)經(jīng)單片機(jī)解碼得到用戶遙控信息并轉(zhuǎn)至IO口執(zhí)行,同時(shí)單片機(jī)還完成對(duì)處于工作狀態(tài)的設(shè)備進(jìn)行計(jì)數(shù)并顯示。圖2-5紅外接收框圖紅外編碼標(biāo)準(zhǔn)本設(shè)計(jì)中采用不同的脈寬寬度來(lái)實(shí)現(xiàn)二進(jìn)制信號(hào)的編碼,編碼由發(fā)送單片機(jī)來(lái)完成。以間隔0.56ms、脈寬為0.565ms、周期為1.125ms的組合表示二進(jìn)制的“1”;以間隔1.685ms、脈寬為0.565ms、周期為2.25ms的組合表示二進(jìn)制的“0”,遙控編碼脈沖信號(hào)由引導(dǎo)碼、識(shí)別碼、識(shí)別反碼、控制碼、控制反碼信號(hào)組成。引導(dǎo)碼也叫起始碼,由寬度為5ms的高電平和寬度為3ms的低電平組成,用來(lái)標(biāo)志遙控編碼脈沖信號(hào)的開(kāi)始。識(shí)別碼也叫系統(tǒng)碼,它用來(lái)指示遙控系統(tǒng)的種類,以區(qū)別其它遙控系統(tǒng),防止各遙控系統(tǒng)的誤動(dòng)作??刂拼a也叫功能碼,它代表了相應(yīng)的控制功能,接收機(jī)可根據(jù)功能碼的數(shù)值完成各種功能操作。識(shí)別反碼與控制反碼分別是識(shí)別碼與控制碼的反碼,反碼的加入是為了能在接收端校對(duì)傳輸過(guò)程中數(shù)據(jù)是否產(chǎn)生差錯(cuò)。脈沖位置表示的“0”和“1”組成的32位二進(jìn)制碼前16位控制指令,控制不同的紅外遙控設(shè)備。而不同的紅外家用電器又有不同的脈沖調(diào)控方式,后16位分別是8位的控制碼和8位的控制反碼。串行數(shù)據(jù)碼時(shí)序圖如2-6所示。二進(jìn)制信號(hào)的調(diào)制仍由發(fā)送單片機(jī)來(lái)完成,A是二進(jìn)制信號(hào)的編碼波形,B是頻率為38KHz(周期為26^s)的連續(xù)脈沖,C是經(jīng)調(diào)制后的間斷脈沖用(相當(dāng)于C=AXB),用于紅外發(fā)射二極管發(fā)送的波形。待發(fā)送的二進(jìn)制數(shù)據(jù)為101。圖中脈沖個(gè)數(shù)僅為示意非真實(shí)情況。表示‘1‘表示‘0‘表示‘1'CC=A*B圖2-6紅外接收頭接收及輸出波形二進(jìn)制信號(hào)的解調(diào)由一體化紅外接收頭HS38B來(lái)完成,它把接收到的紅外信號(hào)經(jīng)內(nèi)部處理并解調(diào)復(fù)原,在輸出腳輸出中波形E(正好是波形A的取反),HS38B的解調(diào)可理解為,在輸入有脈沖用時(shí),輸出端輸出低電平,否則輸出高電平??芍苯优c單片機(jī)用行輸入口及外中斷相聯(lián),以實(shí)現(xiàn)隨時(shí)接收遙控信號(hào)并產(chǎn)生中斷,然后由單片機(jī)對(duì)編碼還原。二進(jìn)制信號(hào)的解碼由接收單片機(jī)來(lái)完成,它把紅外接收頭送來(lái)的二進(jìn)制編碼波形通過(guò)解碼,還原出發(fā)送端發(fā)送的數(shù)據(jù)。把波形E解碼還原成原始二進(jìn)制數(shù)據(jù)信息101。

第三章系統(tǒng)硬件的設(shè)計(jì)3.1單片機(jī)控制模塊的設(shè)計(jì)3.1.1單片機(jī)的選擇STC89C5是標(biāo)準(zhǔn)的40弓I腳雙歹直插式集成電路芯如圖3-1所示STC89C51是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器(FPEROMFalshProgrammableandErasableReadOnlyMemory)的低電壓,高性能CMOS8微處理器,俗稱單片機(jī)。該器件采用ATMEIM密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51旨令集和輸出管腳相兼容。由于將多功能8位CPLW閃爍存儲(chǔ)器組合在單個(gè)芯片中,ATMEL勺STC89C5建一種高效微控制器,為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。STC89C5俱有以下標(biāo)準(zhǔn)功能:8K字節(jié)Flash,256字節(jié)RAM32位I/O口線,看門狗定時(shí)器,2個(gè)數(shù)據(jù)指針,三個(gè)16位定時(shí)器/計(jì)數(shù)器,一個(gè)6向量2級(jí)中斷結(jié)構(gòu),全雙工用行口,片內(nèi)晶振及時(shí)鐘電路。另外,STC89C51可降至0Hz靜態(tài)邏輯操作,支持2種軟件可選擇節(jié)電模式??臻e模式下,CPU停止工作,允許RAM定時(shí)器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié),單片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止1P1.0<T2^VCC斷或硬件復(fù)位為止1P1.0<T2^VCCPl.1(T2EX>P。0cAJDO)Pl.2PO.1(AD1)Pl.3P0.2<AD2>PL40PO.3<AD3>P1.5<MOSI)1>O.4<jVD4)P1.6<MISO)I*O.5<-*VD5>P1.7(SCKL>POHAJdQRSTPO.7(AD7>P3.O(RJCD)EAPFPP3一1£T7XZD)AJLETPROGP3.2<rNTO)PSEZP3.3<mTl)P2.7(A1S)P3.4<T0^P2.6<A14>P7.5CTOP2.5<A13>P3.7(M>^P2.3tJklOJCTAL2P2.2(A1O>XTAL1P2.1(A9^GTNDP2.O(A8>4039^5s-笨51OI3029_2B-^TT<L--1920圖3-1STC89C51的引腳圖STC89C51I片機(jī)一般采用雙列直插DIP封裝,共40個(gè)引腳。40個(gè)引腳大致可分為4類:電源、時(shí)鐘、控制各I/O引腳。一.電源Vcc——芯片電源,接+5V;GND--接地端。二.時(shí)鐘XTAL1XTAL2-一晶體振蕩電路反相輸入端和輸出端。三.控制線控制線共有4根,其中3根是復(fù)用線。所謂復(fù)用線是指具有兩種功能,正常使用時(shí)是一種功能,在某種條件下是另一種功能。ALE/INT0——地址鎖存允許/片內(nèi)EPRO褊程脈沖。ALE功能:用來(lái)鎖存P0口送出的低八位地址。STC89C51在并行擴(kuò)展外存儲(chǔ)器時(shí),P0口用于分時(shí)傳送低8位地址和數(shù)據(jù)信號(hào),且均為二進(jìn)制數(shù)。當(dāng)ALE信號(hào)有效時(shí),P0口傳送的是低8位地址信號(hào);ALE信號(hào)無(wú)效時(shí),P0口傳送的是低8位地址信號(hào)。在ALE信號(hào)的下降沿,鎖定P0口傳送的內(nèi)容,即低8位地址信號(hào)。需要指出的是,當(dāng)CPU^執(zhí)行訪問(wèn)外RAM旨令,ALE以時(shí)鐘振蕩頻率1/6的固定頻率輸出,因此ALE信號(hào)也可作為外部芯片CLK時(shí)鐘或其他需要。但是,當(dāng)CPU丸彳TMOVX1令時(shí),ALE將跳過(guò)一個(gè)ALE脈沖。PROG功能:片內(nèi)EPRO的芯片,在EPROMI程期間,此引腳輸入編程脈沖。PSEN——外ROMS選通信號(hào)。89C51讀外ROM寸,每個(gè)機(jī)器周期內(nèi)PSEN兩次有效輸出。PSEN可作為外ROMS片輸出允許OE的選通信號(hào)。在讀內(nèi)ROM?讀外RAM寸,PSEN無(wú)效。RST/VPD復(fù)位/備用電源。(1)正常工作時(shí),RST端為復(fù)位信號(hào)輸入端,只要在該引腳上連續(xù)保持兩個(gè)機(jī)器周期以上高電平,AT89C51芯片即實(shí)現(xiàn)復(fù)位操作,復(fù)位后一切從頭開(kāi)始,CPLM0000H開(kāi)始執(zhí)行指令。(2)VPD功能:在VCC掉電情況下,該引腳可接上備用電源,由VPD向片內(nèi)RAMft電,以彳^持片內(nèi)RAMfr的數(shù)據(jù)不丟失。EA/VPP——內(nèi)外ROMS擇/片內(nèi)EPRO褊程電源EA功能:正常工作時(shí),EA為內(nèi)外ROMS擇端。AT89C51單片機(jī)ROMH址范圍為64KB其中4KB在片內(nèi),60KB在片外。當(dāng)前保持高電平時(shí),先訪問(wèn)內(nèi)ROM但當(dāng)PC值超過(guò)4KB時(shí),將自動(dòng)轉(zhuǎn)向執(zhí)行外ROMH勺程序。EA保持低電平時(shí),則只訪問(wèn)外ROM當(dāng)不管芯片內(nèi)有否內(nèi)ROMVPP功能:片內(nèi)有EPROMJ芯片,在EPRO編程期間,此引腳用于施加編程電源。四.I/O引腳STC89C51tP0、P1、P2、P34個(gè)8位并行I/O端口,共32個(gè)引腳。P0口是一組8位漏級(jí)開(kāi)路型雙向I/O口,也即地址/數(shù)據(jù)總線復(fù)用口。作為輸出口用時(shí),每位能以吸收電流的方式驅(qū)動(dòng)8個(gè)TTL邏輯門電路,對(duì)端口寫1時(shí),又可作高阻抗輸入端用。在訪問(wèn)外部程序和數(shù)據(jù)存儲(chǔ)器時(shí),它是分時(shí)多路轉(zhuǎn)換的地址(低8位)/數(shù)據(jù)總線,在訪問(wèn)期間激活了內(nèi)部的上拉電阻。在Flash編程時(shí),P0端口接收指令字節(jié);而在驗(yàn)證程序時(shí),則輸出指令字節(jié)。驗(yàn)證時(shí),要求外接上拉電阻。P1口是帶內(nèi)部上拉電阻的雙向I/O口,向P1口寫入1時(shí)P1口被內(nèi)部上拉為高電平,可用作輸入口。當(dāng)作為輸入腳時(shí)被外部信號(hào)拉低的P1口會(huì)因?yàn)閮?nèi)部上拉而輸出一個(gè)電流。Flash編程和程序校驗(yàn)期間,P1接收低8位地址。P2口是帶內(nèi)部上拉電阻的雙向I/O口,向P2口寫入1時(shí)P2口被內(nèi)部上拉為高電平可用作輸入口,當(dāng)作為輸入腳時(shí)被外部拉低的P2口會(huì)因?yàn)閮?nèi)部上拉而輸出電流。在訪問(wèn)外部程序存儲(chǔ)器或16位地址的外部數(shù)據(jù)存儲(chǔ)器(例如執(zhí)行MOVX@DPTR令)時(shí),P2口送出高8位地址數(shù)據(jù),當(dāng)使用8位尋址方式(MOVX@Rt問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),P2口發(fā)送P2特殊功能寄存器的內(nèi)容,在整個(gè)訪問(wèn)期間不改變。Flash編程和程序校驗(yàn)時(shí),P2也接收高位地址和一些控制信號(hào)。P3口是帶內(nèi)部上拉電阻的雙向I/O口,向P3口寫入1時(shí)P3口被內(nèi)部上拉為高電平可用作輸入口,當(dāng)作為輸入腳時(shí)被外部拉低的P3口會(huì)因?yàn)閮?nèi)部上拉而輸出電流。P3口除了作為一般的I/O口線外,更重要的是它的第二功能,如表3-1所小。表3-1P3各端口第二功能端口引腳第二功能P3.0—RXD串行口輸入端P3.1TXD串行口輸出端外部中斷0請(qǐng)求輸入端P3.2INT0外部中斷1請(qǐng)求輸入端P3.3INT1P3.4T0定時(shí)/計(jì)數(shù)器0外部信號(hào)輸入端P3.5T1定時(shí)/計(jì)數(shù)器1外部信號(hào)輸入端P3.6WR外RA幅選通信號(hào)輸出端P3.7RD外RAMt選通信號(hào)輸出端3.1.2時(shí)鐘電路石英晶體振蕩器是利用石英晶體(二氧化硅的結(jié)晶體)的壓電效應(yīng)制成的一種諧振器件,它的基本結(jié)構(gòu)大致是從一塊石英晶體上按一定方位角切下薄片(簡(jiǎn)稱為晶片,它可以是正方形、矩形或圓形等),在它的兩個(gè)對(duì)應(yīng)面上涂敷銀層作為電極,在每個(gè)電極上各焊一根引線接到管腳上,再加上封裝外殼就構(gòu)成了石英晶體諧振器,簡(jiǎn)稱為石英晶體或晶體、晶振。其產(chǎn)品一般用金屬外殼封裝,也有用玻璃殼、陶瓷或塑料封裝的。石英晶體的壓電效應(yīng):若在石英晶體的兩個(gè)電極上加一電場(chǎng),晶片就會(huì)產(chǎn)生機(jī)械變形。反之,若在晶片的兩側(cè)施加機(jī)械壓力,則在晶片相應(yīng)的方向上將產(chǎn)生電場(chǎng),這種物理現(xiàn)象稱為壓電效應(yīng)。注意,這種效應(yīng)是可逆的。如果在晶片的兩極上加交變電壓,晶片就會(huì)產(chǎn)生機(jī)械振動(dòng),同時(shí)晶片的機(jī)械振動(dòng)又會(huì)產(chǎn)生交變電場(chǎng)。在一般情況下,晶片機(jī)械振動(dòng)的振幅和交變電場(chǎng)的振幅非常微小,但當(dāng)外加交變電壓的頻率為某一特定值時(shí),振幅明顯加大,比其他頻率下的振幅大得多,這種現(xiàn)象稱為壓電諧振,它與LC回路的諧振現(xiàn)象十分相似。它的諧振頻率與晶片的切割方式、幾何形狀、尺寸等有關(guān)。晶振在電氣上可以等效成一個(gè)電容和一個(gè)電阻并聯(lián)再串聯(lián)一個(gè)電容的二端網(wǎng)絡(luò),電工學(xué)上這個(gè)網(wǎng)絡(luò)有兩個(gè)諧振點(diǎn),以頻率的高低分其中較低的頻率為串聯(lián)諧振,較高的頻率為并聯(lián)諧振。由于晶體自身的特性致使這兩個(gè)頻率的距離相當(dāng)?shù)慕咏?,在這個(gè)極窄的頻率范圍內(nèi),晶振等效為一個(gè)電感,所以只要晶振的兩端并聯(lián)上合適的電容它就會(huì)組成并聯(lián)諧振電路。這個(gè)并聯(lián)諧振電路加到一個(gè)負(fù)反饋電路中就可以構(gòu)成正弦波振蕩電路,由于晶振等效為電感的頻率范圍很窄,所以即使其他元件的參數(shù)變化很大,這個(gè)振蕩器的頻率也不會(huì)有很大的變化。晶振有一個(gè)重要的參數(shù),那就是負(fù)載電容值,選擇與負(fù)載電容值相等的并聯(lián)電容,就可以得到晶振標(biāo)稱的諧振頻率。一般的晶振振蕩電路都是在一個(gè)反相放大器(注意是放大器不是反相器)的兩端接入晶振,再有兩個(gè)電容分別接到晶振的兩端,每個(gè)電容的另一端再接到地,這兩個(gè)電容串聯(lián)的容量值就應(yīng)該等于負(fù)載電容,請(qǐng)注意一般IC的引腳都有等效輸入電容,這個(gè)不能忽略。一般的晶振的負(fù)載電容為15P或12.5p,如果再考慮元件引腳的等效輸入電容,則兩個(gè)22P的電容構(gòu)成晶振的振蕩電路就是比較好的選擇。晶體振蕩器也分為無(wú)源晶振和有源晶振兩種類型。無(wú)源晶振與有源晶振(諧振)的英文名稱不同,無(wú)源晶振為crystal(晶體),而有源晶振則叫做oscillator(振蕩器)。無(wú)源晶振需要借助于時(shí)鐘電路才能產(chǎn)生振蕩信號(hào),自身無(wú)法振蕩起來(lái),所以“無(wú)源晶振”這個(gè)說(shuō)法并不準(zhǔn)確;有源晶振是一個(gè)完整的諧振振蕩器。石英晶體振蕩器與石英晶體諧振器都是提供穩(wěn)定電路頻率的一種電子器件。石英晶體振蕩器是利用石英晶體的壓電效應(yīng)來(lái)起振,而石英晶體諧振器是利用石英晶體和內(nèi)置IC共同作用來(lái)工作的。振蕩器直接應(yīng)用于電路中,諧振器工作時(shí)一般需要提供3.3V電壓來(lái)維持工作。振蕩器比諧振器多了一個(gè)重要技術(shù)參數(shù):諧振電阻(RR,諧振器沒(méi)有電阻要求。RR的大小直接影響電路的性能,因此這是各商家競(jìng)爭(zhēng)的一個(gè)重要參數(shù)。STC89C5仲有一個(gè)用于構(gòu)成內(nèi)部振蕩器白高增益反相放大器,引腳XTAL和XTAL汾別是該放大器的輸入端和輸出端。這個(gè)放大器與作為反饋元件的片外石英晶體或陶瓷諧振器一起構(gòu)成自激振蕩器,單片機(jī)18(X1)、19(X2)引腳接由12M晶振和兩個(gè)22pF電容構(gòu)成的時(shí)鐘電路,振蕩電路如圖3-2所示。圖3-2時(shí)鐘電路外接石英晶體(或陶瓷振蕩器)及電容C1、C2接在放大器的反饋回路中構(gòu)成并聯(lián)振蕩電路。對(duì)外接電容C1、C2雖然沒(méi)有十分嚴(yán)格的要求,但電容容量的大小會(huì)輕微影響振蕩頻率的高低,振蕩器工作的穩(wěn)定性,起振的難易程序及溫度穩(wěn)定性,如果使用石英晶體,則推薦電容使用30pF±10pF,而如使用陶瓷振蕩器建議選擇40pF-10Fo用戶也可以采用外部時(shí)鐘,采用時(shí)鐘的電路如圖。在這種情況下,外部時(shí)鐘脈沖接到XTAL1端,即內(nèi)部時(shí)鐘發(fā)生器的輸入端。3.1.3復(fù)位電路當(dāng)MCS-51系列單片機(jī)的復(fù)位引腳RST作稱RESET出現(xiàn)2個(gè)機(jī)器周期以上的高電平時(shí),單片機(jī)就執(zhí)行復(fù)位操作。如果RST持續(xù)為高電平,單片機(jī)就處于循環(huán)復(fù)位狀態(tài)。根據(jù)應(yīng)用的要求,復(fù)位操作通常有兩種基本形式:上電復(fù)位和上電或開(kāi)關(guān)復(fù)位。本次設(shè)計(jì)采用的復(fù)位電路如圖3-3所示。K111K1圖3-3單片機(jī)復(fù)位電路

9引腳接由電源、電容、電阻構(gòu)成的上電復(fù)位電路,即通過(guò)外部復(fù)位電路的電容充電來(lái)實(shí)現(xiàn)。這樣,只要電源Vcc的上升時(shí)間不超過(guò)1ms就可以實(shí)現(xiàn)自動(dòng)上電復(fù)位,即接通電源就完成了系統(tǒng)的復(fù)位初始化。3.1.4最小系統(tǒng)由時(shí)鐘電路、復(fù)位電路、供電電路組成的最小系統(tǒng),如下圖3-4。\rcc1:cni+F1.0(T2)VCCFi.icntX)P0.0(AD0)1:cni+F1.0(T2)VCCFi.icntX)P0.0(AD0)P1.2P0.KAD1)P1.3P0.2CW2)F1.4PO.3(AD3)P1.5(MOSDP04(AD4〕PO.5(,\D5)PIJ(SCK)P0&AD6)RSTPOKAD。P3.0(RXD)EAATPPM(TXD)AIXPROGpm二ZePSEXP3.3(IOT1)P2.7(A15)P3,4{T0)P2.6(A14)P3,5(T1)P2J(A13)P工妙&P2.4(A12)P3JCKD)P23CA11)XTAL2P2.2(A10)KTAMP2J(A9)GNDP2.0CAS)40T302?圖3-4單片機(jī)最小系統(tǒng)供電電路設(shè)計(jì)穩(wěn)壓電源的功能是把來(lái)自電網(wǎng)的220V交流電壓轉(zhuǎn)變?yōu)樗璧?、穩(wěn)定的直流電壓。它由電源變壓器、整流電路、濾波電路和穩(wěn)壓電路四個(gè)部分組成整流過(guò)程如圖3-5。

圖3-5穩(wěn)壓電源的組成框圖及整流與穩(wěn)壓過(guò)程根據(jù)要求所確定的穩(wěn)壓電源的電路形式如圖3-6所示。圖中變壓器T將來(lái)自電網(wǎng)的220V交流電壓變換為整流電路所需要的7.5V交流電壓,再經(jīng)整流電橋(4個(gè)二極管)D1將交流電壓變換成脈動(dòng)的直流電壓,隨后電解電容器C1將脈動(dòng)直流電壓中的大部分紋波加以濾除,以得到較為平滑的直流電壓。為了得到改善的紋波電壓,冉將直流電壓通過(guò)電容C2,然后經(jīng)集成穩(wěn)壓器IC1穩(wěn)壓,在輸出端得到穩(wěn)定的5V直流電壓。這時(shí),在輸出端接上電容C3,用以濾除輸出端的高頻信號(hào),改善負(fù)載的瞬態(tài)響應(yīng),最后即可得到所需的、穩(wěn)定的直流電壓。電路最后接入的發(fā)光二極管用做電源指示燈。圖3-6電源電路圖顯示模塊設(shè)計(jì)點(diǎn)陣模塊屏體的主要部分是顯示點(diǎn)陣,還有行、列驅(qū)動(dòng)電路。系統(tǒng)顯示點(diǎn)陣采用

16X16單色顯示單元,驅(qū)動(dòng)電路采用動(dòng)態(tài)掃描方式驅(qū)動(dòng)LED器件,控制整個(gè)顯示電路的行列驅(qū)動(dòng)。采用掃描方式進(jìn)行顯示時(shí),每行有一個(gè)行驅(qū)動(dòng)器,各行的同名列共用一個(gè)列驅(qū)動(dòng)器。由行給出的行選通信號(hào),從第一行開(kāi)始,按順序依次對(duì)個(gè)行進(jìn)行掃描。單片機(jī)P1端口低四位發(fā)送的各列鎖存控制信號(hào),確定

相應(yīng)的列驅(qū)動(dòng)器是否將該列與電源的另一端接通,接通的列就在該行該列點(diǎn)燃相應(yīng)LER未接通的列所對(duì)應(yīng)的LED熄滅。當(dāng)一行的掃描持續(xù)時(shí)間結(jié)束后,下一行又以同樣的方法進(jìn)行掃描。直到16行全部各行都掃描一遍后,又接著從第一行開(kāi)始進(jìn)行下一個(gè)周期的掃描。只要一個(gè)掃描周期時(shí)間比人眼1/25秒的暫留時(shí)間短,就感覺(jué)不出閃爍。點(diǎn)陣式LED顯示屏主要用于發(fā)布信息、顯示漢字,通常由若干塊LED點(diǎn)陣顯示模塊組成。最常見(jiàn)的LED點(diǎn)陣顯示單元有5X7,7X9,8X8結(jié)構(gòu),前兩種主要用于顯示各種西文字符,后一種常用于顯示各種漢字字符,8X8LED點(diǎn)陣的外觀如圖3-7如示。Hl1H22H33H44H55H66H77H88圖3-78*8點(diǎn)陣模塊167577亙T7To從圖3-8中(本圖的LED陣列采用共陽(yáng)的接法)可以看出,圖3-78*8點(diǎn)陣模塊167577亙T7To當(dāng)采用按行掃描按列控制的驅(qū)動(dòng)方式時(shí),LED顯示屏8行的同名列共用一套列驅(qū)動(dòng)器。行驅(qū)動(dòng)器一行的行線連接到電源的一端,列驅(qū)動(dòng)器一列的列線連接到電源的另一端。應(yīng)用時(shí)還應(yīng)在各條行線或列線上接上限流電阻。掃描中控制電路將行線的1到8輪流接通高電位,使連接到各該行的全部LE淵件接通正電源,但具體那一個(gè)LED導(dǎo)通,還要看它的負(fù)電源是否接通,這就是列控制的任務(wù)了。當(dāng)對(duì)應(yīng)的某一列置0電平,則相應(yīng)的二極管就亮;反

之則不亮。例如:如果想使屏幕左上角LED點(diǎn)亮,左下角LED?滅的話,在掃描到第一行時(shí),第一列的電位就應(yīng)該為低,而掃描到第八行時(shí)第一列的電位就應(yīng)該為高。這樣行線上只管一行一行的輪流導(dǎo)通,列線上進(jìn)行通斷控制,實(shí)現(xiàn)了行掃描列控制的驅(qū)動(dòng)方式。圖3-88*8共陽(yáng)模塊的內(nèi)部結(jié)構(gòu)圖圖3-88*8共陽(yáng)模塊的內(nèi)部結(jié)構(gòu)圖可以用基里安的方法將四個(gè)8X8點(diǎn)陣顯示屏構(gòu)成16X16點(diǎn)陣顯示屏,其具體的連接方法將(A)和(B)的8歹(陽(yáng)極)、(C)和(D)的8歹1」(陽(yáng)極)分別對(duì)應(yīng)相連,同時(shí)將(A)和(C)的8行(陰極)、(B)和(D)的8行(陰極)分別對(duì)應(yīng)相連。即可形成一個(gè)16行(每一行有16個(gè)LED>16歹(每一列也有16個(gè)LED)的16X16點(diǎn)陣顯示器,其中可將這256個(gè)點(diǎn)稱為一頁(yè),這樣,顯示字符時(shí)。只要對(duì)一頁(yè)中對(duì)應(yīng)的亮滅進(jìn)行控制即可。在這里要注意一點(diǎn),買來(lái)的不同型號(hào)的點(diǎn)陣引腳排列是不一樣的,因?yàn)閺S家沒(méi)有公開(kāi)引腳的排列順序,所以每個(gè)行列引腳以及正負(fù)極都要自己用萬(wàn)用表去測(cè)量,測(cè)量方法是把萬(wàn)用表?yè)艿蕉O管檔,用一個(gè)紅色表筆點(diǎn)住任意一個(gè)引腳,在用黑色表筆依次往下測(cè),測(cè)量的時(shí)候用紙記錄好,測(cè)量完第一行在測(cè)量第二行,以此類推。驅(qū)動(dòng)電路設(shè)計(jì)一個(gè)16X16的LED顯示屏行和列各有16支引腳,不能單靠51單片機(jī)的端口驅(qū)動(dòng)所以必須要對(duì)單片機(jī)的端口個(gè)數(shù)進(jìn)行擴(kuò)展。經(jīng)常采用的端口擴(kuò)展方法是用串并轉(zhuǎn)換芯片進(jìn)行譯碼。常用的串并轉(zhuǎn)換芯片有74LS154(4線-16線譯碼器)、74LS164(8位用并轉(zhuǎn)換器)、74HC595等。51系列單片機(jī)端口低電平時(shí),吸入電流可達(dá)20mA,具有一定的驅(qū)動(dòng)能力;而為高電平時(shí),輸出電流僅數(shù)十小A甚至更?。娏鲗?shí)際上是由腳的上拉電流形成的),基本上沒(méi)有驅(qū)動(dòng)能力,所以單片機(jī)不能直接驅(qū)動(dòng)LED顯示屏顯示。在單片機(jī)和顯示屏之間還需要增加以功能放大位目的的驅(qū)動(dòng)電路。根據(jù)驅(qū)動(dòng)方式的不同,LED大屏幕顯示方式可以分為靜態(tài)顯示和動(dòng)態(tài)掃描顯示兩種。靜態(tài)顯示是指將一幅畫面輸入以后要保持到下一幅畫面的輸入:動(dòng)態(tài)顯示是指將畫面分為若干部分分別進(jìn)行刷新。靜態(tài)顯示每一個(gè)像素需要一套驅(qū)動(dòng)電路,如果顯示屏為NXM個(gè)像素(LED屏,則需要NXM套驅(qū)動(dòng)電路;動(dòng)態(tài)掃描顯示則采用多路復(fù)用技術(shù),如果是P路復(fù)用的話,則每P個(gè)像素需一套驅(qū)動(dòng)電路,NXM個(gè)像素僅需NXN/P套驅(qū)動(dòng)電路。另外,對(duì)于靜態(tài)顯示方式,需要較多的譯碼驅(qū)動(dòng)裝置,需要的引線也比較多;對(duì)于動(dòng)態(tài)掃描顯示方式,每列有一個(gè)列驅(qū)動(dòng)器,各列的同名行共用一個(gè)列驅(qū)動(dòng)器。由列給出列有效信號(hào),從第一列開(kāi)始,按順序依次對(duì)各列進(jìn)列掃描(把該列與電源一端接通)。另一方面,根據(jù)各行鎖存的數(shù)據(jù),確定相應(yīng)的行驅(qū)動(dòng)器是否將該行與電源的另一端接通。接通的行,就在該列該行點(diǎn)亮LED,未接通的行所對(duì)應(yīng)的LED熄滅。當(dāng)一列的持續(xù)掃描時(shí)間結(jié)束后,下一列又以同樣的方法進(jìn)行顯示。全部各列都掃過(guò)一遍后(一個(gè)掃描周期),又從第一列開(kāi)始下一個(gè)周期的掃描。只要掃描周期的時(shí)間比人眼1/25秒的暫留時(shí)間短,就不容易感覺(jué)出閃爍現(xiàn)象。顯示數(shù)據(jù)通常以字節(jié)的形式順序存放在控制系統(tǒng)的存儲(chǔ)器中。在行掃描、列控制顯示時(shí),把顯示數(shù)據(jù)從存儲(chǔ)器中取出傳送到每一列對(duì)應(yīng)的行驅(qū)動(dòng)器上,這就存在行數(shù)據(jù)傳輸方式的問(wèn)題。從控制電路到行驅(qū)動(dòng)器的數(shù)據(jù)傳輸可以采用并行方式或用行方式,它們各有優(yōu)缺點(diǎn):數(shù)據(jù)并行傳輸?shù)乃俣缺容^快,但是隨著屏幕的增大,點(diǎn)陣模塊數(shù)量的增多,線路會(huì)越來(lái)越復(fù)雜;數(shù)據(jù)串行傳輸?shù)乃俣缺容^慢,但它可以大大簡(jiǎn)化傳輸線路,對(duì)于列數(shù)較多的LED顯示屏來(lái)說(shuō),采用串行傳輸方式比較合適。采用用行傳輸?shù)姆椒ǎ刂齐娐房梢灾挥靡桓盘?hào)線,將行數(shù)據(jù)一位一位傳往行驅(qū)動(dòng)器,與此同時(shí),行驅(qū)動(dòng)器中每一行都把當(dāng)前數(shù)據(jù)傳向后一行,并從前一行接收新數(shù)據(jù),一直到一列的各行數(shù)據(jù)全部傳輸?shù)轿缓?,才能并行地進(jìn)行顯示。對(duì)于串行傳輸來(lái)說(shuō),數(shù)據(jù)要經(jīng)過(guò)并行到串行和串行到并行兩次變換,因此列數(shù)據(jù)的準(zhǔn)備時(shí)間可能相當(dāng)長(zhǎng),在列掃描周期確定的情況下,留給列顯示的時(shí)間就少一些,以至影響到LED的亮度。解決申行傳輸中列數(shù)據(jù)準(zhǔn)備和行數(shù)據(jù)顯示的時(shí)間矛盾問(wèn)題,可以采用重疊處理的方法。即在顯示本列各行數(shù)據(jù)的同時(shí),準(zhǔn)備下一列的行數(shù)據(jù),這就需要列數(shù)據(jù)的顯示具有鎖存功能。本行己準(zhǔn)備好的數(shù)據(jù)打入并行鎖存器進(jìn)行顯示時(shí),用并移位寄存器就可以準(zhǔn)備下一列的列數(shù)據(jù),而不會(huì)影響本列的顯示。行驅(qū)動(dòng)電路行驅(qū)動(dòng)電路相對(duì)簡(jiǎn)單。行選通信號(hào)來(lái)源于單片機(jī)按照時(shí)序要求所給出的二進(jìn)制信號(hào),每次更新行號(hào)(開(kāi)始掃描新的一行)時(shí),由單片機(jī)輸出4位二進(jìn)制行號(hào),行號(hào)經(jīng)4/16線譯碼器譯碼后,生成16條行選通信號(hào)線,再經(jīng)過(guò)驅(qū)動(dòng)器驅(qū)動(dòng)對(duì)應(yīng)的行線。采用譯碼器的方案,還可以保證同一時(shí)刻只選通一條行線,從而達(dá)到顯示的穩(wěn)定性。由于16X16點(diǎn)陣顯示器有16行,由于單片機(jī)的IO有限,為了合理的利用單片機(jī)的IO口,本電路中加入了一個(gè)4-16線譯碼器74HC154其輸入是一個(gè)16進(jìn)制碼,解碼輸出為低態(tài)掃描信號(hào),。把74HC154勺E1和E2引腳接地,然后以A、B、C、D四腳為輸入端。就會(huì)形成16種不同的輸入狀態(tài),分別為0000?1111,然后使每種狀態(tài)只控制一路輸出,即會(huì)有16路輸出。74HC154芯片的管腳如圖3-9所示。

就加1111*1NfMAWM-。圖3-974HG138列驅(qū)動(dòng)電路網(wǎng)—8位用行輸入/輸出或

而且移位寄存器和輸列驅(qū)動(dòng)電路由集成電路8位用行輸入/輸出或

而且移位寄存器和輸者并行輸出的移位寄存器和一個(gè)8位輸出鎖存器的結(jié)構(gòu),出鎖存器的控制是各自獨(dú)立的,可以實(shí)現(xiàn)在顯示本行各列數(shù)據(jù)的同時(shí),傳送下一行的列數(shù)據(jù),即達(dá)到重疊處理的目的。74HC595的管腳如圖3-10所示。它的輸入側(cè)有8個(gè)用行移位寄存器,每個(gè)移位寄存器的輸出都連接一個(gè)輸出鎖存器。引腳SER是串行數(shù)據(jù)的輸入端。引腳SRCLK俞入移位寄存器的移位時(shí)鐘脈沖,在其上升沿發(fā)生移位,并將SER的下一個(gè)數(shù)據(jù)打入最低位。移位后的各位信號(hào)出現(xiàn)在各移位寄存器的輸出端,也就是輸出鎖存器的輸入端。RCLK是輸出鎖存器的打入信號(hào),其上升沿將移位寄存器的輸出打入到輸出鎖存器。引腳OE是輸出三門的開(kāi)放信號(hào),只有當(dāng)其為低時(shí)鎖存器的輸出才開(kāi)放,否則為高阻態(tài)。SRCLRB號(hào)是移位寄存器的清零輸入端,當(dāng)其為低時(shí)移位寄存器的輸出全部為0,由于SRCLK口RCLK兩個(gè)信號(hào)是互相獨(dú)立的,所以能夠做到輸入串行移位與輸出鎖存互不干擾。芯片的輸出端為QA-QH最高位QHRT作為多片74HC59瞰連應(yīng)用時(shí),向上一級(jí)的級(jí)連輸出。但因QH受輸出鎖存器打入控制,所以還從輸出鎖存器前引出了QH,作為與移位寄存器完全同步的級(jí)連輸出。移位寄存和輸出鎖存的時(shí)序波形如圖3-11所示?!敢籹o■廣iF-mcq—1=>0^,4^DOOOOO59513-8GZDVCCMR-16To'Tl"w&J圖3-1074HC595引腳圖攵k-TLrLrLnLrLrLnLrLTLrLrLrLjrLrLrLrLSHR?.小nnnnnnnnnnn—SCLR年——1ItI1圖3-11移位寄存和輸出鎖存的時(shí)序波形圖3.3.5掃描顯示過(guò)程將2片74HC595進(jìn)行級(jí)連,可共用一個(gè)移位時(shí)鐘SH_C吸數(shù)據(jù)鎖存信號(hào)ST_CP這樣,當(dāng)?shù)谝恍行枰@示的數(shù)據(jù)經(jīng)過(guò)8X8=64個(gè)SH_CM鐘后便可將其全部移入74HC595+,此時(shí)還將產(chǎn)生一個(gè)數(shù)據(jù)鎖存信號(hào)ST_CFW數(shù)據(jù)鎖存在74HC595中,并在使能信號(hào)OE的作用下,使用入數(shù)據(jù)并行輸出,從而使與各輸出位對(duì)應(yīng)的場(chǎng)驅(qū)動(dòng)管處于放大或截止?fàn)顟B(tài);同時(shí)由行掃描控制電路產(chǎn)生信號(hào)使第一行掃描管導(dǎo)通,相當(dāng)于第一行LED的正端都接高,顯然,第一行LED管的亮滅就取決于74HC595+的鎖存信號(hào);止匕外,在第一行LED管點(diǎn)亮的同時(shí),再在74HC595+移入第二行需要顯示的數(shù)據(jù),隨后將其鎖存,同時(shí)由行掃描控制電路將第一行掃描管關(guān)閉而接通第二行,使第二行LED管點(diǎn)亮,以此類推,當(dāng)?shù)谑袙呙柽^(guò)后再回到第一行,這樣,只要掃描速度足夠高,就可形成一幅完整的文字或圖像。顯示模塊原理圖顯示模塊原理圖如圖3-12所示圖3-12顯示模塊原理圖下載模塊設(shè)計(jì)STM片機(jī)USBF載器,也可用USB口和電腦進(jìn)行串口通信pl-2303hx的USB專TTL電平申口的電路1、電腦的原有的串口,叫作RS232接口,這是一種cmos接口,接口電壓從-15到+15v之間,而單片機(jī)C51都是TTL電平,電平電壓只有0V或是5V兩種。用這個(gè)電腦做出來(lái)的就是單片機(jī)上用的TTL電平,所以,不要再接MAX232芯片了。這是它的一大好處。

2、電路可以提取出USBg口的+5V電壓,正好用于C51單片機(jī)的使用,非常方便。另外PL-2303hx還能對(duì)外提供一個(gè)+3.3V的電壓,這個(gè)電壓,對(duì)于AVRI片機(jī),非常合適,本設(shè)計(jì)采用CH240,12MHZj振設(shè)計(jì),如圖3-13。3、用STC公司生產(chǎn)的ISP下載軟件就可以實(shí)現(xiàn)程序的燒寫。VDDGND抵口W3PL23OJC5ZZ^CIO+|lQOpF33pFVDDGND抵口W3PL23OJC5ZZ^CIO+|lQOpF33pF圖圖3-13下載器原理圖第四章系統(tǒng)軟件的設(shè)計(jì)軟件的整體設(shè)計(jì)思想在單片機(jī)系統(tǒng)中,硬件是系統(tǒng)的基礎(chǔ),軟件則是在硬件的基礎(chǔ)上對(duì)其合理的調(diào)配和使用,從而完成應(yīng)用系統(tǒng)所要完成的任務(wù)。軟件的設(shè)計(jì)是設(shè)計(jì)控制系統(tǒng)的應(yīng)用程序。其任務(wù)是在總體設(shè)計(jì)和硬件設(shè)計(jì)的基礎(chǔ)上,確定程序結(jié)構(gòu),分配內(nèi)RAM?源,劃分功能模塊,然后進(jìn)行主程序和各模塊程序的設(shè)計(jì),最后連接起來(lái)成為一個(gè)完整的應(yīng)用程序。在進(jìn)行系統(tǒng)總體設(shè)計(jì)時(shí),曾經(jīng)規(guī)劃過(guò)軟件結(jié)構(gòu),但由于硬件系統(tǒng)尚未仔細(xì)確定,軟件結(jié)構(gòu)框圖十分粗糙,當(dāng)硬件設(shè)計(jì)接口擴(kuò)展及各功能模塊與CPU1接關(guān)系確定后,就能夠具體明確對(duì)軟件設(shè)計(jì)的要求。本設(shè)計(jì)的LED顯示屏軟件的主要功能是向屏體提供顯示數(shù)據(jù),并產(chǎn)生各種控制信號(hào),使屏幕按設(shè)計(jì)的要求顯示。根據(jù)軟件分層次設(shè)計(jì)的原理,可把顯示屏的軟件系統(tǒng)分成兩大層:第一層是底層的顯示驅(qū)動(dòng)程序,第二層是上層的系統(tǒng)應(yīng)用程序。顯示驅(qū)動(dòng)程序負(fù)責(zé)向屏體送顯示數(shù)據(jù),并負(fù)責(zé)產(chǎn)生行掃描信號(hào)和其它控制信號(hào),配合完成LED顯示屏的掃描顯示工作。顯示驅(qū)動(dòng)程序由定時(shí)器T0中斷程序?qū)崿F(xiàn)。系統(tǒng)應(yīng)用程序完成系統(tǒng)環(huán)境設(shè)置(初始化)、顯示效果處理等工作,由主程序來(lái)實(shí)現(xiàn)。從有利于實(shí)現(xiàn)語(yǔ)言的直觀,易于記憶和檢查,可讀性較好和使語(yǔ)言程序占用較少的單片機(jī)存儲(chǔ)空間,實(shí)時(shí)處理系統(tǒng)可以快速的執(zhí)行指令的角度考慮,本設(shè)計(jì)中顯示屏程序采用C語(yǔ)言編寫。軟件開(kāi)發(fā)環(huán)境keil軟件的介紹單片機(jī)開(kāi)發(fā)中除必要的硬件外,同樣離不開(kāi)軟件,我們寫的匯編語(yǔ)言源程序要變?yōu)镃PUT以執(zhí)行的機(jī)器碼有兩種方法,一種是手工匯編,另一種是機(jī)器匯編,目前已極少使用手工匯編的方法了。機(jī)器匯編是通過(guò)匯編軟件將源程序變?yōu)闄C(jī)器碼,用于MCS-51單片機(jī)的匯編軟件有

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論