集成數(shù)字式鬧鐘設(shè)計(jì)報(bào)_第1頁(yè)
集成數(shù)字式鬧鐘設(shè)計(jì)報(bào)_第2頁(yè)
集成數(shù)字式鬧鐘設(shè)計(jì)報(bào)_第3頁(yè)
集成數(shù)字式鬧鐘設(shè)計(jì)報(bào)_第4頁(yè)
集成數(shù)字式鬧鐘設(shè)計(jì)報(bào)_第5頁(yè)
已閱讀5頁(yè),還剩10頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

..集成數(shù)字式鬧鐘設(shè)計(jì)報(bào)告一、設(shè)計(jì)目的進(jìn)一步熟悉和掌握數(shù)字電路的設(shè)計(jì)方法和步驟進(jìn)一步將理論和實(shí)踐相結(jié)合熟悉和掌握仿真軟件的應(yīng)用二、設(shè)計(jì)任務(wù)和要求〔1時(shí)鐘功能:具有24小時(shí)或12小時(shí)的計(jì)時(shí)方式,顯示時(shí)、分、秒?!?具有快速校準(zhǔn)時(shí)、分、秒的功能?!?能設(shè)定起鬧時(shí)間,響鬧時(shí)間為一分鐘,超過一分鐘自動(dòng)停;具有人工止鬧功能;止鬧后不再重新操作,將不再起鬧?!?計(jì)時(shí)準(zhǔn)確度:每天計(jì)時(shí)誤差不超過10秒?!?供電方式:220v,50hz交流供電,當(dāng)交流中斷時(shí),自動(dòng)接上內(nèi)部備用電源供電,不影響計(jì)時(shí)功能。三、設(shè)計(jì)要求1.完成全電路的理論設(shè)計(jì)2.參數(shù)的計(jì)算和有關(guān)器件的選擇3.對(duì)電路仿真4.撰寫設(shè)計(jì)報(bào)告一份:A3圖紙至少一張,報(bào)告要求寫明以下要求:〔1總體方案的選擇〔2各個(gè)單元的選擇和設(shè)計(jì)〔3仿真過程的實(shí)現(xiàn)目錄第一章:設(shè)計(jì)方案的選擇………………<3>1.數(shù)字鬧鐘的設(shè)計(jì)思想…………<3>2.數(shù)字鬧鐘組成框圖及工作過程………………<3>第二章:電路的計(jì)算與分析……………<6>1.直流穩(wěn)壓電源的設(shè)計(jì)…………<6>2.秒脈沖發(fā)生器的設(shè)計(jì)…………<7>3.時(shí)、分、秒計(jì)數(shù)器的設(shè)計(jì)……<10>4.校時(shí)電路的設(shè)計(jì)………………<16>5.鬧時(shí)電路的設(shè)計(jì)………………<17>6.數(shù)碼顯示電路的設(shè)計(jì)…………<20>第三章:仿真過程及結(jié)果分析…………<21>1.仿真軟件的簡(jiǎn)介………………<21>2.仿真分析………<21>第四章:總結(jié)與心得……<26>第五章:附錄……………<28>1.元器件明細(xì)表…………………<28>2.總電路原理圖…………………<30>第六章:參考文獻(xiàn)…………<31>第一章:設(shè)計(jì)方案的選擇1.1數(shù)字鬧鐘的設(shè)計(jì)思想要想構(gòu)成數(shù)字鬧鐘,首先應(yīng)選擇一個(gè)脈沖源——能自動(dòng)地產(chǎn)生穩(wěn)定的標(biāo)準(zhǔn)時(shí)間脈沖信號(hào),而脈沖源產(chǎn)生的脈沖信號(hào)的頻率較高,因此,需要進(jìn)行分頻,使得高頻脈沖信號(hào)轉(zhuǎn)變?yōu)檫m合于計(jì)時(shí)的低頻脈沖信號(hào),即"秒脈沖信號(hào)"〔頻率為1HZ,經(jīng)過分頻器輸出的秒脈沖作為計(jì)數(shù)器的輸入。由于計(jì)時(shí)的規(guī)律是:60秒=1分,60分=1小時(shí),60小時(shí)=1天,這就需要對(duì)計(jì)數(shù)器分別設(shè)計(jì)為60進(jìn)制,60進(jìn)制,12進(jìn)制或24進(jìn)制〔本方案我選用24進(jìn)制的,按時(shí)、分、秒的順序?qū)?shù)字顯示出來。值得注意的是,任何計(jì)時(shí)裝置都有誤差,因此應(yīng)考慮校準(zhǔn)時(shí)間電路,校準(zhǔn)電路一般采用自動(dòng)校準(zhǔn)快速調(diào)整和手動(dòng)調(diào)整,"自動(dòng)調(diào)整"可利用開關(guān)閉合與斷開,使顯示時(shí)間隨計(jì)時(shí)脈沖自動(dòng)調(diào)整時(shí)間。手動(dòng)調(diào)整可利用手動(dòng)節(jié)拍調(diào)整顯示時(shí)間,基于本次實(shí)驗(yàn)我采用了自動(dòng)調(diào)整。數(shù)字鬧鐘要求有定時(shí)響鬧的功能,故需要提供設(shè)定鬧時(shí)電路,并且有人工止鬧功能,止鬧后不再重復(fù)操作,將不再發(fā)生起鬧功能。1.2數(shù)字鬧鐘組成框圖及工作過程數(shù)字鬧鐘的組成框圖如圖1.1所示:分別由整流電路,數(shù)碼顯示電路,計(jì)時(shí)器,校時(shí)電路,分頻器及振蕩器構(gòu)成的秒脈沖發(fā)生器組成。整流電路校準(zhǔn)電路秒計(jì)時(shí)器分計(jì)數(shù)器 時(shí)計(jì)數(shù)器 秒顯示器分顯示器 時(shí)顯示器 鬧鐘功能擴(kuò)展功能晶體振蕩器分頻器秒整流電路校準(zhǔn)電路秒計(jì)時(shí)器分計(jì)數(shù)器 時(shí)計(jì)數(shù)器 秒顯示器分顯示器 時(shí)顯示器 鬧鐘功能擴(kuò)展功能晶體振蕩器分頻器秒脈沖發(fā)生器它的工作過程:本設(shè)計(jì)開關(guān)用的較多,主要有校時(shí)設(shè)置開關(guān)六個(gè),手動(dòng)止鬧開關(guān)一個(gè),鬧時(shí)設(shè)置開關(guān)十六個(gè)。開關(guān)A--時(shí)十位校準(zhǔn)開關(guān),開關(guān)B--時(shí)個(gè)位校準(zhǔn)開關(guān),開關(guān)C--分十位校準(zhǔn)開關(guān),開關(guān)D--分個(gè)位校準(zhǔn)開關(guān),開關(guān)E--秒十位校準(zhǔn)開關(guān),開關(guān)F—秒個(gè)位校準(zhǔn)開關(guān),同時(shí)也是控制電路正常工作開關(guān),N—人工止鬧開關(guān)。啟動(dòng)前A、B、C、D、E都斷開,F合上。啟動(dòng)后進(jìn)行校準(zhǔn),先校準(zhǔn)小時(shí):開關(guān)A后合上,每一秒鐘顯示器的數(shù)字改變一次,當(dāng)顯示需要校準(zhǔn)的數(shù)字時(shí)斷開開關(guān)A,再將開關(guān)B合上,同樣當(dāng)計(jì)時(shí)器顯示了正確的時(shí)間時(shí),斷開開關(guān)B,這樣時(shí)校準(zhǔn)完成。分校準(zhǔn),秒校準(zhǔn)用同樣的方法進(jìn)行校準(zhǔn)。這樣電路就有了正常的計(jì)時(shí)功能。接下來介紹鬧鐘功能,第一步:將開關(guān)N合上,以使蜂鳴器接入電路中,第二步:設(shè)置鬧鈴時(shí)間。鬧鐘電路中有四組〔每組有四個(gè)開關(guān),分別為A1、B1、C1、D1組,分別對(duì)應(yīng)時(shí)的十位、分位和分的十位和分位,每組開關(guān)自上而下對(duì)應(yīng)一組四位二進(jìn)制數(shù),只要將開關(guān)向上〔向上為高電平或向下〔向下為低電平撥到合適的位置,就能得到不同的二進(jìn)制數(shù),對(duì)應(yīng)一位十進(jìn)制數(shù),這樣就能設(shè)置不同的鬧鈴時(shí)刻,第三步:斷開開關(guān)N就能達(dá)到人工止鬧的效果。第二章:電路的設(shè)計(jì)計(jì)算與分析2.1整流電路的設(shè)計(jì)在電力電子中,整流電路主要由變壓器、橋式整流電路、濾波電路、穩(wěn)壓電路組成,根據(jù)整流電路的主要組成,我選用初、次級(jí)線圈之比為1:0.04的變壓器TRAN-2P2S,橋式整流集成電路BR1,電容C1、C2構(gòu)成濾波電路以及集成穩(wěn)壓芯片7805組成,電路圖如圖2-1:圖2-1整流穩(wěn)壓電路2.2秒脈沖發(fā)生器的設(shè)計(jì)秒脈沖發(fā)生器由振蕩器和分頻器構(gòu)成。振蕩器是數(shù)字鐘的核心,振蕩器的穩(wěn)定度及頻率的精確度決定了數(shù)字鐘的計(jì)時(shí)的準(zhǔn)確程度?!?振蕩器的選擇方案方案一:采用555定時(shí)器與電阻和電容構(gòu)成多諧振蕩器方案二:采用石英晶體振蕩器由于555定時(shí)器構(gòu)成的多諧振蕩器頻率不穩(wěn)定,因此本設(shè)計(jì)選用方案二:石英晶體振蕩器〔晶振頻率f=32768hz。〔2分頻器的設(shè)計(jì)分頻器的功能主要有兩個(gè):一是產(chǎn)生標(biāo)準(zhǔn)秒脈沖信號(hào)二是提供功能擴(kuò)展電路所需要的信號(hào)能構(gòu)成分頻器的芯片有很多如3片中規(guī)模集成電路計(jì)數(shù)器74LS90,74LS161以及14位二進(jìn)制計(jì)數(shù)器,如CD4020、CD4060、MC14020、MC14060、74HC4020、74HC4060。本設(shè)計(jì)選用CD4060芯片及D觸發(fā)器構(gòu)成15分頻電路,其中D觸發(fā)器用來進(jìn)行二分頻,秒脈沖電路如圖2-2:圖2-2CD4060秒脈沖發(fā)生器CD4060芯片功能簡(jiǎn)介:CD4060由一振蕩器和14級(jí)二進(jìn)制串行計(jì)數(shù)器組成,所有計(jì)數(shù)器均為主從觸發(fā)器。CD4060管教圖:圖2-3CD4060管腳圖CD4060各管腳功能:管腳號(hào)1234567功能12分頻輸出13分頻輸出14分頻輸出6分頻輸出5分頻輸出7分頻輸出4分頻輸出管腳號(hào)891011121314功能Vss接地信號(hào)正向輸出信號(hào)反向輸出信號(hào)輸入復(fù)位信號(hào)輸入9分頻輸出8分頻輸出管腳號(hào)1516功能10分頻輸出Vdd電源D觸發(fā)器管腳圖:圖2-4D觸發(fā)器管教圖D觸發(fā)器真值表:輸入端DQnQn+1說明000Qn+1=0010101Qn+1=1111D觸發(fā)器管腳功能圖:管腳號(hào)對(duì)應(yīng)端功能說明1R置0端〔低電平有效2D輸入端3CLK脈沖輸入端4S置1端5Q輸出端2.3時(shí)、分、秒計(jì)數(shù)器的設(shè)計(jì)秒信號(hào)經(jīng)過秒計(jì)數(shù)器、分計(jì)數(shù)器、時(shí)計(jì)數(shù)器之后,分別傳到顯示電路,以便實(shí)現(xiàn)數(shù)字顯示時(shí)、分、秒的要求。"秒"和"分"計(jì)數(shù)器應(yīng)為六十進(jìn)制,"時(shí)"計(jì)時(shí)器應(yīng)為二十四或十二進(jìn)制,本設(shè)計(jì)選擇二十四進(jìn)制。要實(shí)現(xiàn)這一要求,計(jì)數(shù)電路一般采用10進(jìn)制計(jì)數(shù)器如74LS190、74HC290、74HC390,74LS160等來實(shí)現(xiàn)計(jì)數(shù)單元的計(jì)數(shù)功能。本次設(shè)計(jì)選擇74LS160計(jì)數(shù)器。計(jì)數(shù)器74LS160管腳圖:圖2-574LS160管教圖74160計(jì)數(shù)器各管腳功能:管腳3、4、5、6分別對(duì)應(yīng)D0、D1、D2、D3為輸入端,14、13、12、11分別對(duì)應(yīng)Q0、Q1、Q2、Q3為輸出端,9對(duì)應(yīng)LOAD端,為同步置數(shù)端,2對(duì)應(yīng)CLK端,為信號(hào)輸入端,1對(duì)應(yīng)MR端,為異步清零端,7、10管腳對(duì)應(yīng)ENP、ENT為控制端,當(dāng)7、10管腳接高電平芯片進(jìn)入工作狀態(tài),接低電平不工作。a.六十進(jìn)制計(jì)數(shù)器六十進(jìn)制計(jì)數(shù)器有兩片中規(guī)模十進(jìn)制計(jì)數(shù)器74LS160構(gòu)成,利用異步清零端MR將一片十進(jìn)制計(jì)數(shù)器74LS160構(gòu)成六進(jìn)制,再與另一片十進(jìn)制計(jì)數(shù)器74LS160連成六十進(jìn)制,各個(gè)原理圖如下圖所示:六進(jìn)制計(jì)數(shù)器:圖2-6由74LS160構(gòu)成六進(jìn)制計(jì)數(shù)器原理圖六十進(jìn)制計(jì)數(shù)器:圖2-7由76LS160構(gòu)成六十進(jìn)制原理圖b.二十四進(jìn)制計(jì)數(shù)器二十四進(jìn)制計(jì)數(shù)器同樣用兩片中規(guī)模集成計(jì)數(shù)器74LS160構(gòu)成,不過與構(gòu)成六十進(jìn)制的思路有些許不同,我是先將兩片74LS160構(gòu)成一百進(jìn)制計(jì)數(shù)器,,再通過異步置零端,在100進(jìn)制的基礎(chǔ)上構(gòu)成二十四進(jìn)制計(jì)數(shù)器。圖2-8一百進(jìn)制原理圖,圖2-9為二十四進(jìn)制原理圖如下:一百進(jìn)制計(jì)數(shù)器:圖2-8由74LS160構(gòu)成的一百進(jìn)制計(jì)數(shù)器二十四進(jìn)制計(jì)數(shù)器:圖2-9有74LS160構(gòu)成的二十四進(jìn)制計(jì)數(shù)器2.4校時(shí)電路的設(shè)計(jì)在剛接通電源或者時(shí)鐘走時(shí)出現(xiàn)誤差時(shí),則需要進(jìn)行時(shí)間的校準(zhǔn)。校時(shí)電路的要求在小時(shí)校正時(shí)不影響分和秒的正常計(jì)數(shù)在分校正時(shí)不影響秒和時(shí)的正常計(jì)數(shù)校時(shí)方式:快校:通過開關(guān)控制,使計(jì)數(shù)器對(duì)1HZ的校時(shí)脈沖計(jì)數(shù)慢校:用手動(dòng)產(chǎn)生單脈沖做校時(shí)脈沖本設(shè)計(jì)使用快校方式。圖2-10為分校準(zhǔn)電路原理圖:圖2-10分校準(zhǔn)電路原理圖說明:開關(guān)C、D分別一端接計(jì)數(shù)器的控制端ENP和ENT端,另一端經(jīng)過一電阻接到一直流電源上,當(dāng)開關(guān)合上,控制端為為高電平,處于有效狀態(tài),在計(jì)數(shù)脈沖的作用下,可對(duì)分十位和分個(gè)位單獨(dú)計(jì)數(shù),當(dāng)記到正確的時(shí)刻時(shí)再斷開開關(guān),這樣電路就完成了校正功能。時(shí)和秒校正電路與時(shí)校正電路原理一樣。2.5鬧時(shí)電路的設(shè)計(jì)鬧時(shí)電路設(shè)計(jì)我選用了四組開關(guān)和四片數(shù)值比較器74S85,兩組開關(guān)和兩片數(shù)值比較器用來設(shè)定小時(shí)鬧鈴時(shí)刻,另兩組開關(guān)和兩片數(shù)值比較器時(shí)用來設(shè)定分鐘鬧鈴時(shí)刻的。數(shù)值比較器74S85管腳圖:圖2-1174S85管腳圖數(shù)值比較器74S85功能表:圖2-1274S85功能表鬧鐘電路原理圖:圖2-13鬧鐘電路原理圖功能簡(jiǎn)介:通過四組開關(guān)分別調(diào)整鬧鐘時(shí)間,如將第一組開關(guān)自上而下的第三個(gè)開關(guān)向上撥,接到高電平端,其余三個(gè)開關(guān)向下?lián)?接地〔即低電平,則對(duì)應(yīng)數(shù)值2,將第二組,第三組,第四組開關(guān)同樣操作,則對(duì)應(yīng)的了22點(diǎn)22分,當(dāng)各個(gè)計(jì)數(shù)器輸出值分別都為2時(shí),四片計(jì)數(shù)器的QA=B都輸出高電平,經(jīng)過一個(gè)與非門和一個(gè)非門,接到三級(jí)管上,使三極管基級(jí)導(dǎo)通,進(jìn)而集電極導(dǎo)通,繼而驅(qū)動(dòng)蜂鳴器發(fā)聲。當(dāng)響鈴一分鐘后,蜂鳴器停止鳴叫。人工止鬧的實(shí)現(xiàn):使用一個(gè)開關(guān)N,該開關(guān)在三極管的基級(jí)端,使用鬧鐘功能時(shí),將開關(guān)N閉合;停止鬧鐘功能時(shí),將開關(guān)斷開,這就實(shí)現(xiàn)了"止鬧后不再重新操作,將不再發(fā)生起鬧"的功能2.6數(shù)碼顯示電路:數(shù)碼顯示電路器件的選用應(yīng)注意譯碼器和顯示器件的相互配合。一是驅(qū)動(dòng)電路要足夠大,二是邏輯電平要匹配。為了節(jié)約空間及降低復(fù)雜度,本設(shè)計(jì)我選用了一個(gè)集成顯示器7SEG_BCD,高顯示器有四個(gè)管腳,可以直接提供BCD碼的譯碼和顯示。圖2-14數(shù)碼顯示器7SEG_BCD第三章:仿真過程及結(jié)果分析3.1仿真軟件的簡(jiǎn)介:Proteus是世界上著名的EDA工具<仿真軟件>,從原理圖布圖、代碼調(diào)試到單片機(jī)與外圍電路協(xié)同仿真,一鍵切換到PCB設(shè)計(jì),真正實(shí)現(xiàn)了從概念到產(chǎn)品的完整設(shè)計(jì)。是目前世界上唯一將電路仿真軟件、PCB設(shè)計(jì)軟件和虛擬模型仿真軟件三合一的設(shè)計(jì)平臺(tái),其處理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,20XX又增加了Cortex和DSP系列處理器,并持續(xù)增加其他系列處理器模型。在編譯方面,它也支持IAR、Keil和MPLAB等多種編譯器。proteus是英國(guó)Labcenter公司開發(fā)的電路分析與仿真軟件。該軟件的特點(diǎn)是:①集原理圖設(shè)計(jì)、仿真和PCB設(shè)計(jì)于一體,真正實(shí)現(xiàn)從概念到產(chǎn)品的完整電子設(shè)計(jì)工具,②具有模擬電路、數(shù)字電路、單片機(jī)應(yīng)用系統(tǒng)、嵌入式系統(tǒng)〔不高于ARM7設(shè)計(jì)與仿真功能,③具有全速、單步、設(shè)置斷點(diǎn)等多種形式的調(diào)試功能,④具有各種信號(hào)源和電路分析所需的虛擬儀表,⑤支持KeilC51uVision2、MPLAB等第三方的軟件編譯和調(diào)試環(huán)境,⑥具有強(qiáng)大的原理圖到PCB板設(shè)計(jì)功能,可以輸出多種格式的電路設(shè)計(jì)報(bào)表。擁有PROTEUS電子設(shè)計(jì)工具,就相當(dāng)于擁有了一個(gè)電子設(shè)計(jì)和分析平臺(tái)3.2仿真分析a.仿真時(shí)遇到的問題由于思維的局限性及理論知識(shí)在實(shí)際運(yùn)用上存在一些相對(duì)誤差,這就導(dǎo)致了仿真過程中種種錯(cuò)誤的出現(xiàn),我在設(shè)計(jì)過程中主要遇到以下問題:連線問題:由于制圖空間有限及排版不規(guī)范而出現(xiàn)不規(guī)則的連線方式,例如出現(xiàn)斜線現(xiàn)象,如下圖3-1:圖3.1連線錯(cuò)誤示例圖〔2分時(shí)進(jìn)位方面問題:由于思維的局限性,最初只考慮到了秒計(jì)數(shù)器滿六十向分計(jì)數(shù)器進(jìn)一,分計(jì)數(shù)器滿六十進(jìn)向時(shí)計(jì)數(shù)器進(jìn)一,而忽略了秒計(jì)數(shù)器對(duì)時(shí)計(jì)數(shù)器在進(jìn)位方面的影響,這就導(dǎo)致了分計(jì)數(shù)器對(duì)應(yīng)的顯示器顯示59數(shù)值,秒計(jì)數(shù)器對(duì)應(yīng)的顯示器顯示00數(shù)值時(shí),下一個(gè)秒脈沖到來后,直接向時(shí)計(jì)數(shù)器進(jìn)一,而正確的應(yīng)該是分顯示器顯示59數(shù)值,秒顯示器顯示59數(shù)值時(shí),下一個(gè)秒脈沖到來后才向時(shí)計(jì)數(shù)器進(jìn)一。而且除此之外還有一個(gè)大弊端,那就是在分顯示器顯示59數(shù)值整個(gè)一分鐘過程中,由于時(shí)計(jì)數(shù)器ENP、ENT一直為高電平,處于有效狀態(tài),隨著秒脈沖不斷計(jì)數(shù)。錯(cuò)誤連線圖如圖3-2仿真錯(cuò)誤圖:圖3-2進(jìn)位連線錯(cuò)誤示例圖〔3蜂鳴器驅(qū)動(dòng)電壓過大問題:由于proteus軟件中蜂鳴器驅(qū)動(dòng)電壓默認(rèn)12V,而電路提供的直流電壓為5V左右,因此無法驅(qū)動(dòng)蜂鳴器使其鳴響。b.仿真問題的解決方案〔1連線問題的解決:斜線的出現(xiàn)主要是由于剛開始排版時(shí),器件排列過于緊密,因而在器件之間沒有留下布線的空間,因此我把各個(gè)器件進(jìn)行合適重新排版,這樣問題也就解決了。〔2進(jìn)位問題的解決:既然要滿足分顯示器和秒顯示器同時(shí)為59,下一個(gè)秒脈沖到來時(shí),時(shí)顯示器才能加一位,因此將分計(jì)數(shù)器的對(duì)應(yīng)十位計(jì)數(shù)器的Q2、Q1端,對(duì)應(yīng)個(gè)位計(jì)數(shù)器的Q3、Q1引出四條線接到一個(gè)四端口的與非門,將秒計(jì)數(shù)器做同樣的操作,再將兩個(gè)與非門的輸出端接到兩端口的與非門,再將該與非門的輸出端接到時(shí)計(jì)數(shù)器的ENT、ENP〔即控制端,這樣就完成了向時(shí)計(jì)數(shù)器的完美進(jìn)位了。原理圖如圖3-3:圖3-3進(jìn)位問題解決方案原理圖〔3蜂鳴器驅(qū)動(dòng)電壓過大解決方案:雙擊蜂鳴器修改蜂鳴器的驅(qū)動(dòng)電壓為1V就可以了第四章:總結(jié)與心得我們學(xué)習(xí)了數(shù)字電路和模擬電子電路,對(duì)電子技術(shù)有了一些初步的了解,但那畢竟都是一些純理論的知識(shí),通過這次數(shù)字鬧鐘的課程設(shè)計(jì),我們才把學(xué)到的知識(shí)與部分實(shí)踐相結(jié)合,從中對(duì)我們所學(xué)的知識(shí)有了更進(jìn)一步的理解數(shù)電課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn),提出,分析和解決實(shí)際問題,鍛煉實(shí)踐能力的重要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過程.回顧起此次課程設(shè)計(jì),至今我仍感慨頗多,的確,從選題到定稿,從理論到實(shí)踐,在短短的兩個(gè)星期的日子里,可以說得是苦多于甜,但是可以學(xué)到很多很多的的東西,同時(shí)不僅可以鞏固了以前所學(xué)過的知識(shí),而且學(xué)到了很多在書本上所沒有學(xué)到過的知識(shí)。通過這次數(shù)電課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過程中遇到問題,可以說得是困難重重,這畢竟第一次做數(shù)電課程設(shè)計(jì),難免會(huì)遇到過各種各樣的問題,同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠牢固。這次數(shù)電課程設(shè)計(jì)終于順利完成了,在設(shè)計(jì)中遇到了很多問題,最后在李老師的辛勤指導(dǎo)下,終于游逆而解??傮w來說,這次實(shí)習(xí)我受益匪淺.在摸索該如何設(shè)計(jì)電路使之實(shí)現(xiàn)所需功能的過程中,特別有趣,培養(yǎng)了我的設(shè)計(jì)思維,增加了實(shí)際操作能力.在讓我體會(huì)到了設(shè)計(jì)的艱辛的同時(shí),更讓我體會(huì)到成功的喜悅和快樂.這次數(shù)電課程設(shè)計(jì),雖然短暫但是讓我得到多方面的提高:1.提高了我們的邏輯思維能力,使我們?cè)谶壿嬰娐返姆治?/p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論