電機(jī)的dsp控制課程設(shè)計(jì)報(bào)告_第1頁
電機(jī)的dsp控制課程設(shè)計(jì)報(bào)告_第2頁
電機(jī)的dsp控制課程設(shè)計(jì)報(bào)告_第3頁
電機(jī)的dsp控制課程設(shè)計(jì)報(bào)告_第4頁
電機(jī)的dsp控制課程設(shè)計(jì)報(bào)告_第5頁
已閱讀5頁,還剩10頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

課程名稱:電機(jī)的DSP控制課程設(shè)院院專電氣工程與自動(dòng)班F學(xué)姓電機(jī)控制的DSP........................................................................軟件設(shè)計(jì)要 學(xué)會DSP開發(fā)環(huán)境的使用,能編寫C語言程序 編寫數(shù)碼管顯示程序、鍵盤掃描程序 編寫AD采樣程序 編寫6路正弦波程序(變頻器逆變需6路 軟件實(shí) 數(shù)碼管顯示程序、鍵盤掃描程 程序設(shè)計(jì)思 程序模 AD采樣程 程序設(shè)計(jì)思 程序模 6路正弦波程 程序設(shè)計(jì)思 程序模 程序設(shè)計(jì)結(jié) 課程總 電機(jī)控制的本課程設(shè)計(jì)用的為本課程設(shè)計(jì)中主要用到的一些電路模塊和鍵BC7281A/D采樣電路、輸出電路軟件設(shè)計(jì)要學(xué)會DSP開發(fā)環(huán)境的使用,能編寫C語言程序編寫數(shù)碼管顯示程序、鍵盤掃描程序運(yùn)行后,初始值為0,通過1個(gè)鍵,按一下加1,通過另一個(gè)鍵1下減1(按著不超過1不斷加1或減1,時(shí)間超過5秒,不斷加10,或減10編寫AD采樣程序根據(jù)輸入的AD的結(jié)果顯示出入電壓變化時(shí),編寫6路正弦波程序(變頻器逆變需6路的開關(guān)頻10KHz,其輸出的正弦波頻0~100Hz,根據(jù)AD的值變化,50Hz時(shí)輸出100%電壓,0~50HzV/f等于常數(shù)輸出,死區(qū)時(shí)間取2us。頻率值顯示在數(shù)碼管上。軟件實(shí)方法的優(yōu)點(diǎn)是不需要用中斷,但計(jì)時(shí)不夠精確。程序模{ //計(jì)時(shí)變量time{ {case case0x01: { { { }} //11{{case{case{default:}} //510{{case{case{default:}}}AD此程序相對簡單,只需要用ADC中斷獲取通道的采樣值,再將采樣程序模//ADC中斷,獲取通道采樣interruptvoid{ADCINA0ADCINA1ADCINA2ADCINA3ADCINA4//ADCINA5ADCINA6ADCINA7//ADCINB0ADCINB1通道采樣產(chǎn)ADCINB2通道采樣產(chǎn)ADCINB3通道采樣產(chǎn) //ADCINB4通道采樣產(chǎn)28027ADCINB5Voltage0[11]=AdcResult.ADCRESULT14; //模數(shù)轉(zhuǎn)換結(jié)果由ADCINB6通道采樣產(chǎn)生Voltage0[12]=AdcResult.ADCRESULT15; //模數(shù)轉(zhuǎn)換結(jié)果由ADCINB7通道采樣產(chǎn)生AdcRegs.ADCINTCLR.bit.ADCINT1=1; //ClearADCINT1flagreinitializefornextSOCPieCtrlRegs.PIEACK.all=PIEACK_GROUP1; //AcknowledgeinterrupttoPIE}//for循 {qw=bw=(Voltage0[0]-sw=(Voltage0[0]-1000*qw-gw=Voltage0[0]-1000*qw-100*bw-10*sw;qw1=Voltage0[1]/1000;bw1=(Voltage0[1]-sw1=(Voltage0[1]-1000*qw1-gw1=Voltage0[1]-1000*qw1-100*bw1-10*sw1; //8位寫 //7位寫 //6位寫 //5位寫 //4位寫 //3位寫 //2位寫 //1位寫0}6路正弦波程程序設(shè)計(jì)思開關(guān)頻率和死區(qū)的設(shè)置,可以在InitE1Example(),這個(gè)RaisingEdgeDelayFailingEdgeDelay賦值來設(shè)置。程序要求開關(guān)頻率為10KHZ,而28027主頻為60MHZ,由于60M/3000/2=10K,故周期寄存器賦值3000;程序要求死區(qū)為2us,故只需將上升沿延遲和下降沿延遲均設(shè)定為1us即可。輸出波形為正弦波,即要求占空比按正弦變化,本組通過查表(中數(shù)據(jù)按正弦變化,來進(jìn)行脈寬調(diào)制。對于頻率的控制,首先按50HZ為基準(zhǔn),要求每次步長為1查表,將表中數(shù)據(jù)查完一遍,輸出的波形即為50H。則由于開關(guān)頻率為10KH,10K/50=200,所以正弦表中應(yīng)含有20050HZ時(shí),按F/50這一比例系數(shù)為步長進(jìn)行查表,由于F<50,故查表較慢,即輸出波形的頻率變小。當(dāng)頻率大于50HZ時(shí),也按F/50這一比例系數(shù)為步長進(jìn)行查表,由于此時(shí)F>50,故查表較快,即輸出波形頻率變大。對與V/f為常數(shù),則可通過簡單的運(yùn)算即可程序模//正弦//Sintable,MaxValue4096,MinValueunsignedshortsin_table[200]={2073,2138,2202,2266,2330,2393,2456,2519,2582,2643,2705,//E1配//10KHz:60M/3000/2=10Kcenter-#definePeriodregister#define//Period#definevoid//Period//SetupE1Regs.TBPRD=E //Settimerperiod801//周期寄存器值為3000,60M/3000/2=10K;即開關(guān)頻率設(shè)置為10KHZ;E1Regs.TBPHS.half.TBPHS=0x0000; //Phaseis0E1Regs.TBCTR=0x0000; //ClearcounterE1Regs.DBCTL.bit.OUT_MODE=E1Regs.DBCTL.bit.POLSEL=DB_ACTV_HIC;//ToggleE1BonlyE1Regs.DBCTL.bit.IN_MODE=DBA_ALL;//DelayonRaisingandFalingE1Regs.DBRED=120;//1usdeadbandE1Regs.DBFED=}//for循FreqFloat=FreqInt=(unsignedint)th th=FreqInt%10; }//E中斷函interruptvoide//UpdatetheCMPAandCMPB unsignedintPhaseAPosition;unsignedshorti,j,k;Step PhaseAPositionHistory+= ifPhaseAPositionHistory200)PhaseAPositionHistory200;}//200PhaseAPosition=(unsignedint)PhaseAPositionHistory;if(FreqInt>50){i=(unsignedshort)((float)sin_table[PhaseAPosition%200]/j=(unsignedshort)((float)sin_table[(PhaseAPosition+133)%200]/k=(unsignedshort)((float)sin_table[(PhaseAPosition+67)%200]///i=(unsignedshort)((float)FreqInt*2*sin_table[PhaseAPosition%200]/j=(unsignedshort)((float)FreqInt*2*sin_table[(PhaseAPosition+133)%200]/k=(unsignedshort)((float)FreqInt*2*sin_table[(PhaseAPosition+67)%200]/}//50HZ50HZ處的連續(xù),也保證了V/f E1Regs.CMPAhalf.CMPA=i;E2Regs.CMPAhalf.CMPA=j;E3Regs.CMPAhalf.CMPA=} 1Regs.CMPB= //SetCompareB//ClearINTflagforthis 1Regs.ETCLR.bit.INT //Acknowledgethisinterrupttoreceivemoreinterruptsfr

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論