第九章數(shù)模和模數(shù)轉(zhuǎn)換器_第1頁
第九章數(shù)模和模數(shù)轉(zhuǎn)換器_第2頁
第九章數(shù)模和模數(shù)轉(zhuǎn)換器_第3頁
第九章數(shù)模和模數(shù)轉(zhuǎn)換器_第4頁
第九章數(shù)模和模數(shù)轉(zhuǎn)換器_第5頁
已閱讀5頁,還剩42頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

第九章數(shù)模和模數(shù)轉(zhuǎn)換器第1頁,課件共47頁,創(chuàng)作于2023年2月數(shù)模轉(zhuǎn)換器(簡稱D/A轉(zhuǎn)換器或DAC)§9.1概述能夠?qū)?shù)字信號(hào)轉(zhuǎn)換成模擬信號(hào)的電路。模數(shù)轉(zhuǎn)換器(簡稱A/D轉(zhuǎn)換器或ADC)能夠?qū)⒛M信號(hào)轉(zhuǎn)換成數(shù)字信號(hào)的電路。非電模擬量電模擬量模數(shù)轉(zhuǎn)換計(jì)算機(jī)或數(shù)字系統(tǒng)傳感器開關(guān)控制數(shù)模轉(zhuǎn)換模擬控制數(shù)字控制系統(tǒng)原理框圖數(shù)據(jù)傳輸系統(tǒng)原理框圖電模擬量模數(shù)轉(zhuǎn)換存儲(chǔ)、傳輸、處理聲音或圖像聲音或圖像傳感器數(shù)模轉(zhuǎn)換ADC和DAC是溝通模擬電路和數(shù)字電路的橋梁,也可稱之為兩者之間的接口,在各種系統(tǒng)中應(yīng)用很廣。第2頁,課件共47頁,創(chuàng)作于2023年2月一、D/A轉(zhuǎn)換器的基本工作原理D/A轉(zhuǎn)換器實(shí)質(zhì)上是一個(gè)譯碼器(解碼器),將輸入的二進(jìn)制數(shù)字量轉(zhuǎn)換成模擬量,并以電壓或電流的形式輸出?!?.2D/A轉(zhuǎn)換器

D/A

(MSB)

(LSB)

D0Dn-2Dn-1D1vO(iO)將輸入的每一位二進(jìn)制代碼按其權(quán)值大小轉(zhuǎn)換成相應(yīng)的模擬量,然后將代表各位的模擬量相加,則所得的總模擬量就與數(shù)字量成正比,這樣便實(shí)現(xiàn)了從數(shù)字量到模擬量的轉(zhuǎn)換。1、數(shù)/模轉(zhuǎn)換方法:第3頁,課件共47頁,創(chuàng)作于2023年2月DAC的輸出特性:DAC輸出特性000000110110100111001111103579111315vO/kDI1101DAC輸出模擬量的大小與輸入數(shù)字量大小成正比:兩個(gè)相鄰數(shù)碼轉(zhuǎn)換出的電壓值之間的差值,是信息所能分辨的最小量(1LSB);最大輸入數(shù)字量對(duì)應(yīng)的輸出電壓值(絕對(duì)值)用FSR表示。1LSBLeastSignificantBitFSRFullScaleRange第4頁,課件共47頁,創(chuàng)作于2023年2月2、D/A轉(zhuǎn)換器的一般構(gòu)成D/A轉(zhuǎn)換器一般由數(shù)碼緩沖寄存器、模擬電子開關(guān)、參考電壓、解碼網(wǎng)絡(luò)和求和電路等組成。數(shù)字量以串行或并行方式輸入,并存儲(chǔ)在數(shù)碼緩沖寄存器中;寄存器的輸出驅(qū)動(dòng)對(duì)應(yīng)數(shù)位上的電子開關(guān),將在解碼網(wǎng)絡(luò)中獲得的相應(yīng)數(shù)位的權(quán)值送入求和電路;求和電路將各位權(quán)值相加,便得到與數(shù)字量對(duì)應(yīng)的模擬量。n位數(shù)字量輸入模擬量輸出n位D/A轉(zhuǎn)換器方框圖數(shù)碼緩沖寄存器n位數(shù)控模擬開關(guān)解碼網(wǎng)絡(luò)求和電路參考電壓按解碼網(wǎng)絡(luò)的結(jié)構(gòu)不同,有權(quán)電阻網(wǎng)絡(luò)DAC、倒T型電阻網(wǎng)絡(luò)DAC、權(quán)電流型DAC等。第5頁,課件共47頁,創(chuàng)作于2023年2月二、權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器“權(quán)電阻”:電阻值的大小與對(duì)應(yīng)數(shù)字量的權(quán)重密切相關(guān)。di=1時(shí),Si

接VREF;di

=0時(shí),Si接地。“電子開關(guān)”:1、電路構(gòu)成VREF+-uOd3d2d1d0I0I1I2I38R4R2RRIR/2S0S1S2S3LSBMSB權(quán)電阻雙向模擬開關(guān)求和電路運(yùn)放組成反相求和電路實(shí)現(xiàn)各支路電流相加并轉(zhuǎn)換成電壓輸出?!扒蠛碗娐贰保旱?頁,課件共47頁,創(chuàng)作于2023年2月運(yùn)放組成反相求和電路實(shí)現(xiàn)各支路電流相加并轉(zhuǎn)換成電壓輸出?!扒蠛碗娐贰保憾?、權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器2、工作原理運(yùn)放工作在線性區(qū),虛地,U-=0。若di=0,

Si接地,

Ii=0若di=1,

Si接VREF,I0=VREF8RI1=VREF4R“權(quán)電阻”:電阻值的大小與有關(guān)數(shù)字量的權(quán)重密切相關(guān)。di=1時(shí),Si

接VREF;di

=0時(shí),Si接地?!半娮娱_關(guān)”:I=I3+I2+I1

+I0輸入的數(shù)字量VREF+-uOd3d2d1d0I0I1I2I38R4R2RRIR/2S0S1S2S3LSBMSBI2=VREF2RI3=VREFR第7頁,課件共47頁,創(chuàng)作于2023年2月二、權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器2、工作原理VREF+-uOd3d2d1d0I0I1I2I38R4R2RRIR/2S0S1S2S3LSBMSB對(duì)于n位的DAC,輸出電壓的計(jì)算式為:3、電路特點(diǎn):(1)結(jié)構(gòu)簡單;(2)電阻的阻值相差較大,在位數(shù)多時(shí),很難保證精度。輸出電壓的范圍:單位電壓極性與參考電壓相反。第8頁,課件共47頁,創(chuàng)作于2023年2月VREFVORF三、倒T型電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器1、電路構(gòu)成解碼電路中,電阻只有R和2R兩種,并構(gòu)成倒T型電阻網(wǎng)絡(luò)。當(dāng)di=1時(shí),相應(yīng)的開關(guān)Si接到求和點(diǎn);當(dāng)di=0時(shí),相應(yīng)的開關(guān)Si接地。但由于虛短,求和點(diǎn)和地相連,所以不論開關(guān)如何轉(zhuǎn)向,電阻2R總是與地相連。流經(jīng)2R電阻上的支路電流與開關(guān)狀態(tài)無關(guān)。求和點(diǎn)虛地第9頁,課件共47頁,創(chuàng)作于2023年2月VREFVORF2、工作原理求和點(diǎn)虛地從每個(gè)節(jié)點(diǎn)向左看,等效電阻均為2R;整個(gè)網(wǎng)絡(luò)的等效輸入電阻為R。2R2R2R2R

RII2I4I8I16I2I4I8流入求和點(diǎn)的各支路電流為:第10頁,課件共47頁,創(chuàng)作于2023年2月流入求和點(diǎn)的電流為:運(yùn)算放大器的輸出電壓為:即輸出的模擬電壓uO正比于輸入的數(shù)字量NB,從而實(shí)現(xiàn)了從數(shù)字量到模擬量的轉(zhuǎn)換。第11頁,課件共47頁,創(chuàng)作于2023年2月VREFVORF②應(yīng)用:它是目前集成D/A轉(zhuǎn)換器中轉(zhuǎn)換速度較高且使用

較多的一種,如8位D/A轉(zhuǎn)換器DAC0832,就是采用倒

T型電阻網(wǎng)絡(luò)。3、倒T型電阻網(wǎng)絡(luò)DAC的特點(diǎn):①優(yōu)點(diǎn):電阻種類少,只有R和2R,提高了制造精度;

而且支路電流同時(shí)流入求和點(diǎn),不存在時(shí)間差,因而提

高了轉(zhuǎn)換速度。第12頁,課件共47頁,創(chuàng)作于2023年2月四、權(quán)電流型D/A轉(zhuǎn)換器各支路恒流源的大小與對(duì)應(yīng)數(shù)字量的權(quán)重成正比。+-uORF-VREFS0S1S2S3i

D0D1D2D316I8I4I2I若Di=0,

Si接地,

Ii不能加到運(yùn)放輸入端若Di=1,

Si將對(duì)應(yīng)的恒流源加到運(yùn)放輸入端對(duì)于n位的DAC:第13頁,課件共47頁,創(chuàng)作于2023年2月五、D/A轉(zhuǎn)換器的主要技術(shù)指標(biāo)DAC的主要技術(shù)指標(biāo)有:轉(zhuǎn)換精度、轉(zhuǎn)換速度。1、分辨率分辨率用于表征D/A轉(zhuǎn)換器對(duì)輸入微小量變化的敏感程度。定義為D/A轉(zhuǎn)換器的模擬輸出電壓可能被分離的等級(jí)數(shù)。05/75001010011100101110111vo/VD000n位DAC有2n個(gè)不同的模擬量輸出值,即分辨率為2n,實(shí)際中通常用輸入數(shù)字量的有效位數(shù)表示DAC的分辨率,顯然位數(shù)越多,分辨率越高。如10位、8位等。另外也用DAC的最小非零輸出電壓與最大輸出電壓之比來表示分辨率:分辨率DAC的轉(zhuǎn)換精度通常用分辨率、轉(zhuǎn)換誤差來描述。第14頁,課件共47頁,創(chuàng)作于2023年2月2、轉(zhuǎn)換誤差①用最低有效位的倍數(shù)表示。如:某個(gè)DAC的轉(zhuǎn)換誤差為1/2LSB,表示輸出模擬電壓與理論值之間的絕對(duì)誤差小于等于輸入數(shù)字代碼為00……1時(shí)輸出電壓的一半。②用輸出電壓滿刻度的百分?jǐn)?shù)表示。(1)造成轉(zhuǎn)換誤差的主要原因:參考電壓VREF的波動(dòng)--比例系數(shù)誤差運(yùn)算放大器的零點(diǎn)漂移--失調(diào)誤差模擬開關(guān)的導(dǎo)通內(nèi)阻和導(dǎo)通電壓電阻網(wǎng)絡(luò)中的電阻值偏差等轉(zhuǎn)換誤差--指輸出模擬電壓的實(shí)際值與理想值之差的最大值。--非線性誤差(2)轉(zhuǎn)換誤差的表示方法:如:1%(FSR)第15頁,課件共47頁,創(chuàng)作于2023年2月①建立時(shí)間tSet

:輸入由全0變?yōu)槿?,輸出電壓與穩(wěn)態(tài)值相差(±LSB/2)所需的時(shí)間。一般DAC的tset<0.1s。這個(gè)參數(shù)的值越小越好,3、轉(zhuǎn)換速度當(dāng)DAC輸入的數(shù)字量發(fā)生變化時(shí),輸出的模擬量需要延遲一段時(shí)間后才能達(dá)到對(duì)應(yīng)的穩(wěn)態(tài)值,如圖示。②轉(zhuǎn)換速率

:在大信號(hào)工作狀態(tài)下,模擬輸出電壓的最大變化率。第16頁,課件共47頁,創(chuàng)作于2023年2月1.DAC0832結(jié)構(gòu)框圖8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器VREFIOUT2RfbAGNDVCCDGNDDI7~DI0CSWR1WR2XFERILELE1LE2IOUT1&&&RFB它由一個(gè)8位輸入寄存器、一個(gè)8位DAC寄存器和一個(gè)8位D/A轉(zhuǎn)換器三大部分組成,D/A轉(zhuǎn)換器采用了倒T型電阻解碼網(wǎng)絡(luò)。六、集成DAC0832數(shù)字信號(hào)輸入輸入鎖存允許信號(hào)片選信號(hào)寫信號(hào)1寫信號(hào)2通道控制信號(hào)基準(zhǔn)電壓模擬輸出電流1模擬輸出電流2反饋電阻引出端模擬地?cái)?shù)字地電源(5~15V)(-10~+10V)(接運(yùn)放的反相端)(接運(yùn)放的同相端)(采用CMOS工藝制成的雙列直插式8位D/A轉(zhuǎn)換器)第17頁,課件共47頁,創(chuàng)作于2023年2月2.DAC0832使用說明當(dāng)ILE、CS和WR1同時(shí)有效時(shí),輸入數(shù)據(jù)DI7~DI0進(jìn)入輸入寄存器;并在WR1的上升沿實(shí)現(xiàn)數(shù)據(jù)鎖存。當(dāng)WR2和XFER同時(shí)有效時(shí),輸入寄存器的數(shù)據(jù)進(jìn)入DAC寄存器;并在WR2的上升沿實(shí)現(xiàn)數(shù)據(jù)鎖存。八位D/A轉(zhuǎn)換電路隨時(shí)將DAC寄存器的數(shù)據(jù)轉(zhuǎn)換為模擬信號(hào)(IOUT1+IOUT2)輸出。DAC0832的有雙緩沖器型、單緩沖器型兩種工作方式。(2)單緩沖方式:適于單個(gè)ADC工作。寄存器2作數(shù)據(jù)通道,此時(shí)只需一次寫操作,就開始轉(zhuǎn)換。(1)雙緩沖方式:輸入數(shù)據(jù)先存放在寄存器1,輸出的模擬值由寄存器2的數(shù)據(jù)決定;當(dāng)數(shù)據(jù)由寄存器1轉(zhuǎn)存到寄存器2后,寄存器1可以接收新的數(shù)據(jù)而不影響輸出。便于多路DAC同時(shí)工作。第18頁,課件共47頁,創(chuàng)作于2023年2月DAC0832采用單緩沖器方式工作選通1ILEVCCVREF+5V-RW第19頁,課件共47頁,創(chuàng)作于2023年2月小結(jié)能夠?qū)?shù)字信號(hào)轉(zhuǎn)換為模擬信號(hào)的電路稱為D/A轉(zhuǎn)換器(DAC)DAC的電路結(jié)構(gòu)形式主要有權(quán)電阻型、倒T型電阻網(wǎng)絡(luò)及權(quán)

電流型,目前TTL產(chǎn)品多為權(quán)電流型(精度高、速度快),

CMOS產(chǎn)品多采用倒T型電阻網(wǎng)絡(luò)(功耗低)。DAC的模擬輸出電壓與輸入的二進(jìn)制數(shù)成正比,其通式為:DAC的主要技術(shù)為轉(zhuǎn)換精度和轉(zhuǎn)化速度轉(zhuǎn)換精度用分辨率和轉(zhuǎn)換誤差表示;轉(zhuǎn)換速度用建立時(shí)間和轉(zhuǎn)換速率表示。第20頁,課件共47頁,創(chuàng)作于2023年2月【例1】已知某8位D/A轉(zhuǎn)換器的輸入為11010001時(shí),輸出VO=2.09V,求輸入為00111100時(shí)的輸出電壓值。解:DAC的模擬輸出電壓的通式為:第21頁,課件共47頁,創(chuàng)作于2023年2月§9.3模/數(shù)轉(zhuǎn)換器(ADC)二、并聯(lián)比較型ADC三、逐次漸近型ADC五、A/D轉(zhuǎn)換器的主要技術(shù)指標(biāo)

一、A/D轉(zhuǎn)換原理四、雙積分型ADC六、集成ADC0809第22頁,課件共47頁,創(chuàng)作于2023年2月因?yàn)檩斎氲哪M量在時(shí)間上是連續(xù)的,一、A/D轉(zhuǎn)換原理在A/D轉(zhuǎn)換中,而輸出的數(shù)字信號(hào)是離散量,系列選定的瞬間對(duì)輸入的模擬信號(hào)采樣,值轉(zhuǎn)換為輸出的數(shù)字量。A/D轉(zhuǎn)換過程包括四個(gè)步驟:所以進(jìn)行轉(zhuǎn)換時(shí)只能在一然后再把這些采樣0tui將取樣得到的電壓轉(zhuǎn)換為相應(yīng)的數(shù)字量需要一定的時(shí)間,所以取樣后必須把取樣電壓保持一段時(shí)間,以保證完成A/D轉(zhuǎn)換。取樣保持量化編碼vIDO第23頁,課件共47頁,創(chuàng)作于2023年2月1.取樣和保持取樣(也稱采樣)是將時(shí)間上連續(xù)變化的信號(hào),轉(zhuǎn)換為時(shí)間上離散的信號(hào),即將時(shí)間上連續(xù)變化的模擬量轉(zhuǎn)換為一系列等間隔的脈沖,脈沖的幅度取決于輸入模擬量。fi(t)fO(t)S(t)模擬開關(guān)S(t)取樣脈沖fO(t)

樣值脈沖輸出fi(t)

模擬輸入為了保證能從采樣信號(hào)將原信號(hào)恢復(fù),要求:fS

:采樣頻率;fimax:vi

的最高頻率分量。——采樣定理在工程設(shè)計(jì)中通常?。旱?4頁,課件共47頁,創(chuàng)作于2023年2月模擬信號(hào)經(jīng)采樣后,得到一系列樣值脈沖。采樣脈沖寬度τ一般是很短暫的,在下一個(gè)采樣脈沖到來之前,應(yīng)暫時(shí)保持所取得的樣值脈沖幅度,以便進(jìn)行轉(zhuǎn)換。一般取樣與保持過程都是同時(shí)完成的。①在采樣脈沖S(t)到來的時(shí)間τ內(nèi),VT導(dǎo)通,vI向電容C充電,假定充電時(shí)間遠(yuǎn)小于τ,則:vO(t)=vC(t)=vI(t)。(采樣)②采樣結(jié)束,VT截止,電容無放電回路,輸出電壓得以保持,直到下一個(gè)采樣脈沖到來為止。(保持)取樣保持電路vO-+vICS(t)采樣門跟隨器tvOvI采樣保持采樣保持采樣保持采樣保持采樣保持第25頁,課件共47頁,創(chuàng)作于2023年2月2.量化和編碼數(shù)字信號(hào)不僅在時(shí)間上是離散的,而且數(shù)值大小的變化這就是說,是某個(gè)規(guī)定的最小數(shù)量單位的整數(shù)倍。因此,在進(jìn)行A/D轉(zhuǎn)換時(shí)也必須把采樣電壓化為這個(gè)這個(gè)轉(zhuǎn)化過程就叫做“量化”。所取的最小數(shù)量單位叫做量化單位,顯然,數(shù)字信號(hào)最低有效位的“1”代表的數(shù)量就等于

。把量化的結(jié)果用代碼(二進(jìn)制或二-十進(jìn)制)表示出來,稱為“編碼”,也是不連續(xù)的。任何一個(gè)數(shù)字量的大小只能最小單位的整數(shù)倍。用“

”表示。這些代碼就是A/D轉(zhuǎn)換的輸出結(jié)果。由于模擬電壓是連續(xù)的,它不一定能被

整除,因而量化過程不可避免地會(huì)引入誤差——“量化誤差”。量化誤差為原理誤差,是不可消除的,位數(shù)越大,誤差越小。采用不同方法劃分量化電平,會(huì)有不同的誤差。第26頁,課件共47頁,創(chuàng)作于2023年2月3.量化的方法與量化誤差(1)舍尾取整法當(dāng)vI的尾數(shù)<Δ時(shí),舍尾取整。01V1/82/83/84/85/86/87/801Δ2Δ3Δ4Δ5Δ6Δ7Δ000001010011100101110111這種方法ε>0,000000011101100001第27頁,課件共47頁,創(chuàng)作于2023年2月(2)四舍五入法當(dāng)vI的尾數(shù)</2時(shí),舍尾取整。這種方法ε可正可負(fù),當(dāng)vI的尾數(shù)>/2時(shí),舍尾入整。01V1/153/155/157/159/1511/1513/1501Δ2Δ3Δ4Δ5Δ6Δ7Δ000001010011100101110111000001100110100010第28頁,課件共47頁,創(chuàng)作于2023年2月A/D轉(zhuǎn)換器有直接轉(zhuǎn)換法和間接轉(zhuǎn)換法兩大類。直接法是通過一套基準(zhǔn)電壓與取樣保持電壓進(jìn)行比較,從而直接將模擬量轉(zhuǎn)換成數(shù)字量。其特點(diǎn)是工作速度高,轉(zhuǎn)換精度容易保證,調(diào)準(zhǔn)也比較方便。直接A/D轉(zhuǎn)換器有并行比較型、逐次比較型等。間接法是將取樣后的模擬信號(hào)先轉(zhuǎn)換成中間變量時(shí)間t或頻率f,然后再將t或f轉(zhuǎn)換成數(shù)字量。其特點(diǎn)是工作速度較低,但轉(zhuǎn)換精度可以做得較高,且抗干擾性強(qiáng)。間接A/D轉(zhuǎn)換器有單次積分型、雙積分型等。3、A/D轉(zhuǎn)換器的主要電路形式第29頁,課件共47頁,創(chuàng)作于2023年2月-11DC1Q1-21DC1Q2-31DC1Q3-41DC1Q4-51DC1Q5-61DC1Q6-71DC1Q7VREFVICPI1I2I3I4I5I6I7D0D1D2RRRRRRRR/2二、并行比較型ADC優(yōu)先編碼器寄存器比較器1315VREF1115VREF915VREF715VREF515VREF315VREF115VREF輸入輸出關(guān)系表P449表9.2.1例:設(shè)VREF=10V,當(dāng)輸入為6V時(shí),輸出的數(shù)字量=?0001111901570155015301510151101513015100第30頁,課件共47頁,創(chuàng)作于2023年2月(1)優(yōu)點(diǎn):轉(zhuǎn)換速度很快,故又稱高速A/D轉(zhuǎn)換器。含有寄存器的A/D轉(zhuǎn)換器兼有取樣保持功能,所以它可以不用附加取樣保持電路。(2)缺點(diǎn):電路復(fù)雜,對(duì)于一個(gè)n位二進(jìn)制輸出的并行比較型A/D轉(zhuǎn)換器,需2n-1個(gè)電壓比較器和2n-1個(gè)觸發(fā)器,編碼電路也隨n的增大變得相當(dāng)復(fù)雜。且轉(zhuǎn)換精度還受分壓網(wǎng)絡(luò)和電壓比較器靈敏度的限制。(3)應(yīng)用:適用于高速,精度較低的場合。并行比較型A/D轉(zhuǎn)換器的特點(diǎn):第31頁,課件共47頁,創(chuàng)作于2023年2月三、逐次比較型ADC設(shè)待秤重量Wx=13克,逐次比較型ADC的工作原理可用天平秤重過程來說明:若有四個(gè)砝碼共重15克,每個(gè)重量分別為8、4、2、1克??梢杂孟卤聿襟E來秤量:第1次加8克砝碼總重<待測重量Wx,8克第2次加4克砝碼總重<待測重量Wx,12克第3次加2克砝碼總重

>待測重量Wx,12克第4次砝碼總重=待測重量Wx,加1克13克故保留故保留故撤除故保留砝碼重暫時(shí)結(jié)果

結(jié)論第32頁,課件共47頁,創(chuàng)作于2023年2月(一)電路組成n位ADC完成一次A/D轉(zhuǎn)換所需的時(shí)間為nTCP。(二)A/D轉(zhuǎn)換過程①轉(zhuǎn)換前寄存器清零;②將寄存器最高位置1;輸出數(shù)據(jù)為100…0,該數(shù)碼經(jīng)DAC轉(zhuǎn)換成v'O,將vI與v'O進(jìn)行比較,

v'O<

vI,最高位的1保留;v'O>

vI,最高位的1清除;③將寄存器次高位置1;輸出數(shù)據(jù)為×10…0,重復(fù)②。④比較完畢后,將寄存器中的數(shù)據(jù)送到輸出端。⑤復(fù)位,回到轉(zhuǎn)換前的初始狀態(tài)。D/A轉(zhuǎn)換器vI+-v'O數(shù)據(jù)寄存器移位寄存器D7D0……啟動(dòng)脈沖控制邏輯VREFCP三、逐次比較型ADC第33頁,課件共47頁,創(chuàng)作于2023年2月vI=6.84V時(shí)的詳細(xì)轉(zhuǎn)換過程D/A轉(zhuǎn)換器vI+-v'O數(shù)據(jù)寄存器移位寄存器D7D0……啟動(dòng)脈沖控制邏輯VREFCP-10V57.56.256.8756.56256.718756.796875D7D6D5D4D3D2D1D0CP啟動(dòng)脈沖1234567896.8359375輸出的數(shù)字量為:10101111。第34頁,課件共47頁,創(chuàng)作于2023年2月分辨率較高轉(zhuǎn)換誤差較低轉(zhuǎn)換速度較快(比并行比較型慢)應(yīng)用較為廣泛(三)逐次比較型ADC的特點(diǎn)第35頁,課件共47頁,創(chuàng)作于2023年2月四、雙積分型ADC雙積分型ADC的轉(zhuǎn)換原理是先將模擬電壓UI轉(zhuǎn)換成與其大小成正比的時(shí)間間隔T,再利用基準(zhǔn)時(shí)鐘脈沖通過計(jì)數(shù)器將T變換成數(shù)字量。

S2n位二進(jìn)制計(jì)數(shù)器定時(shí)器邏輯控制門uIS1RCuOCOCP&dn-1d0基準(zhǔn)電壓輸入電壓-+-+積分器時(shí)鐘脈沖控制門過零比較器第36頁,課件共47頁,創(chuàng)作于2023年2月工作原理分析:-+A-+AFF2Q2D2FF1Q1D1FF0Q0D0FFn-1Qn-1Dn-1FFnQn…RD啟動(dòng)&CPRC積分器過零比較器VS1VOVCVDVI-VREF…G第37頁,課件共47頁,創(chuàng)作于2023年2月(1)性能穩(wěn)定,轉(zhuǎn)換精度高。

其轉(zhuǎn)換結(jié)果與時(shí)間常數(shù)RC無關(guān),從而消除了由于斜波

電壓非線性帶來的誤差,允許積分電容在一個(gè)較寬范圍

內(nèi)變化,而不影響轉(zhuǎn)換結(jié)果。(2)電路簡單,抗干擾能力強(qiáng)。

由于輸入信號(hào)積分的時(shí)間較長,且是一個(gè)固定值T1,而

T2正比于輸入信號(hào)在T1內(nèi)的平均值,這對(duì)于疊加在輸

入信號(hào)上的干擾信號(hào)有很強(qiáng)的抑制能力。(3)這種A/D轉(zhuǎn)換器不必采用高穩(wěn)定度的時(shí)鐘源,它只要

求時(shí)鐘源在一個(gè)轉(zhuǎn)換周期(T1+T2)內(nèi)保持穩(wěn)定即可。

這種轉(zhuǎn)換器被廣泛應(yīng)用于要求精度較高而轉(zhuǎn)換速度要

求不高的儀器中。雙積分型ADC的特點(diǎn):第38頁,課件共47頁,創(chuàng)作于2023年2月五、ADC的主要技術(shù)指標(biāo)1、分辨率分辨率=例如,A/D轉(zhuǎn)換器的輸出為12位二進(jìn)制數(shù),最大輸入模擬信號(hào)為10V,則其分辨率為:

分辨率指A/D轉(zhuǎn)換器對(duì)輸入模擬信號(hào)的分辨能力。從理論上講,一個(gè)n位二進(jìn)制數(shù)輸出的A/D轉(zhuǎn)換器應(yīng)能區(qū)分輸入模擬電壓的2n個(gè)不同量級(jí),能區(qū)分輸入模擬電壓的最小差異為(滿量程輸入的1/2n)。ADC的主要技術(shù)指標(biāo)有轉(zhuǎn)換精度和轉(zhuǎn)換速度。第39頁,課件共47頁,創(chuàng)作于2023年2月2.轉(zhuǎn)換誤差

它表示A/D轉(zhuǎn)換器實(shí)際輸出的數(shù)字量和理論上輸出的數(shù)字量之間的差別。常用最低有效位的倍數(shù)表示。例如,轉(zhuǎn)換誤差≤。就表明實(shí)際輸出的數(shù)字量和

理論上應(yīng)得到的輸出數(shù)字量之間的誤差小于最低位的半個(gè)字。3、轉(zhuǎn)換時(shí)間

轉(zhuǎn)換時(shí)間是指A/D轉(zhuǎn)換器從接到轉(zhuǎn)換啟動(dòng)信號(hào)開始,到輸出端獲得穩(wěn)定的數(shù)字信號(hào)所經(jīng)過的時(shí)間。A/D轉(zhuǎn)換器的轉(zhuǎn)換速度主要取決于轉(zhuǎn)換電路的類型,不同類型A/D轉(zhuǎn)換器的轉(zhuǎn)換速度相差很大。①雙積分型ADC的轉(zhuǎn)換速度最慢,需幾百毫秒左右;②逐次逼近式ADC的轉(zhuǎn)換速度較快,需幾十微秒

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論