(數(shù)電課程設計)交通信號燈設計報告_第1頁
(數(shù)電課程設計)交通信號燈設計報告_第2頁
(數(shù)電課程設計)交通信號燈設計報告_第3頁
(數(shù)電課程設計)交通信號燈設計報告_第4頁
(數(shù)電課程設計)交通信號燈設計報告_第5頁
已閱讀5頁,還剩14頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

交通信號燈控制器設計報告摘要:數(shù)字電子技術是一門實踐性很強的課程,而數(shù)電課程設計是實踐環(huán)節(jié)的重要組成部分,它給我們提供了一個理論聯(lián)系實際、檢驗知識、加深認識、開拓思維、汲取新知識的機會。數(shù)電課程的內(nèi)容雖然只是一個簡單的數(shù)字系統(tǒng),但在思考問題、提出問題、解決疑難、排除障礙的過程中,卻能達到升華所學知識、訓練綜合、創(chuàng)新能力及團隊合作能力之目的。在完成本次作業(yè)的過程中,可以學到PROTEL99及QUARTUSII軟件的使用方法,并且掌握狀態(tài)機的設計方法及利用數(shù)字電路實現(xiàn)自動控制的思路和方法。通過查閱文獻,我們基本上了解了EPF10K20TC144-4芯片的基本功能,會使用軟件進行仿真和生成電路,并用VerilogHDL語言很好的對硬件進行了設計和描述。同時也對倒計時顯示電路、可預置數(shù)的計數(shù)器,譯碼電路及狀態(tài)機進行了邏輯設計,并做好了PCB模板。通過數(shù)電課程論文的寫作使我們熟悉了論文的書寫要求,訓練了我們?nèi)绾螚l理、全面、流暢的表達自己的設計成果的能力,收獲頗豐,受益匪淺。關鍵詞:控制器,計數(shù)器,譯碼器第1章:概述隨著各種交通工具的發(fā)展和交通指揮的需要,信號燈在人們的生活中起著越來越重要的作用。當前,大量的信號燈控制電路正向著數(shù)字化、小功率、多樣化,方便人、車、路三者關系的協(xié)調(diào),多值化方向發(fā)展。隨著社會經(jīng)濟的發(fā)展發(fā)展,交通問題越來越引起人們的關注。隨著社會的發(fā)展和城市規(guī)模的不斷擴張,城市交通成為制約城市發(fā)展的一大因。因此,許多設計工作者為改善城市交通環(huán)境設計了許多種方案,而大多數(shù)都為交通指揮燈,本電路也是基于前人設計的基礎上進行改進的,全部采用數(shù)字電路組成,較以往的方案更為精確。1.1市場上現(xiàn)有的交通信號燈的設計方案:1.1.1基于PLC的設計:圖1.基于PLC的交通信號控制器PLC具有以下幾個特點:1:編程方法簡單易學。2:硬件配套齊全,用戶使用方便。3:通用性好,適用性強。4:可靠性高,抗干擾能力強。5:系統(tǒng)的設計、安裝、調(diào)試工作量少。1.1.2基于ARM的設計:圖2.基于ARM的交通信號控制器ARM有以下幾個特點:1:耗電少,功能強。2:16位/32位雙指令集合。3:有眾多合作伙伴。1.1.3基于單片機的設計:單片機具有以下幾個特點:1:芯片雖小,五臟俱全,是單片機主要特點之一。其內(nèi)部設有程序存儲器、數(shù)據(jù)存儲器、各種接口電路。而大型的處理器運算速度較高,運算器位數(shù)較多,處理能力較強,但需要在外部配置接口電路。2:單片機主頻一般在100MHZ以下,適合用于獨立工作的小型產(chǎn)品之中,引腳數(shù)量從幾個到百余個。3:應用簡單、靈活,可用匯編語言及C語言開發(fā)單片機產(chǎn)品。圖3.基于單片機的交通信號控制器1.1.4市場上已有的一種交通燈控制器的實物照片及參數(shù):我們設計的交通信號燈控制器全部由數(shù)字電路組成。對比以上幾種設計方案,采用數(shù)字電路組成的控制器設計思路簡單,但所需元器件種類較多,組裝起來有一定難度且成本較高。設計過程是:首先完成對狀態(tài)機、譯碼電路、計數(shù)器等的邏輯設計,其次利用VerilogHDL語言編寫程序,并利用QUARTUSII仿真軟件驗證所編程序的正確性,最后整理思路進行論文寫作。1.2論文的主要研究內(nèi)容與結構安排如下:第2章是總體方案介紹部分,包括交通信號燈的功能簡介及實現(xiàn)其功能所用的原理,所用的FPGA的特性和VerilogHDL語言的介紹,并給出狀態(tài)機的狀態(tài)圖及功能框圖。第3章是硬件電路設計部分,包括硬件電路要實現(xiàn)的功能、各模塊的描述、電路板設計說明,并給出電路框圖、電路板圖、詳細完整電路圖、電路板絲網(wǎng)漏印圖及元件列表。第4章是邏輯設計部分,包括分小節(jié)介紹各個模塊的實現(xiàn)(利用VerilogHDL語言進行描述),給出頂層模塊圖和仿真結果的波形圖。第5章是總結與展望部分,介紹了本電路的應用前景,總結此次作業(yè)的收獲與心得。本章小結:本章主要介紹了市場上已有的交通信號燈的設計思路及所用原理,并將其特點羅列出來,與本設計方案進行比較,還給出了一種產(chǎn)品的圖片及其詳細參數(shù)。另外,簡要介紹了本論文的主要研究內(nèi)容與結構安排,給出了論文各章的內(nèi)容提要。第2章:總體方案介紹2.1功能介紹:我們設計的交通信號燈控制器可實現(xiàn)對東西南北四個方向直行和左拐車輛及行人的控制,并配有等待時間倒計時的顯示功能,可以很好的使等候車輛及行人掌控時間。2.2功能實現(xiàn)原理:2.2.1狀態(tài)機:根據(jù)電路設計的要求可以得到如下狀態(tài)機。當時鐘沿到來后,狀態(tài)機一方面分別控制東西南北四個方向直行與左拐兩個方向的指示燈ER1、EY1、EG1、ER2、EY2、EG2、NR1、NY1、NG1、NR2、NY2、NG2的亮滅(E表示東西方向,N表示南北方向,R、Y、G分別表示紅黃綠三種顏色的燈,1表示直行方向,2表示南北方向),另一方面輸出t信號控制可預置數(shù)計數(shù)器或?qū)晌活A置數(shù)db2、db1置入計數(shù)器中或開始計數(shù)。2.2.2可預置數(shù)計數(shù)器:由于各個方向的直行與左拐所需的時間各不相同,導致定時時間不同。為簡化設計、降低成本,本電路采用了可預置數(shù)的計數(shù)器。當狀態(tài)機的輸出t=0時置數(shù),t=1時開始計數(shù)。倒計時顯示電路同步顯示時間。td信號反饋回狀態(tài)機,實現(xiàn)對狀態(tài)機的控制。2.2.3譯碼顯示電路:本電路采用7446譯碼器與共陽數(shù)碼管組成譯碼顯示電路。當7446譯碼器的BI/RBO、RBI、LT端均接高電平時,譯碼器正常工作,共陽數(shù)碼管顯示數(shù)字。2.3EPF10K20TC144-4芯片介紹:2.3.1技術參數(shù)工作溫度范圍:0°C到+85°C針腳數(shù):144SVHC(高度關注物質(zhì)):NoSVHC(15-Dec-2010)器件標號:10封裝類型:TQFP工作溫度敏:0°C工作溫度最高:85°C電源電壓:5V芯片標號:10K20表面安裝器件:表面安裝輸入數(shù):189邏輯功能號:10K20邏輯芯片功能:可編程2.3.2下載方式Altera公司的FPGA器件有兩類配置下載方式:主動配置下載方式和被動配置下載方式。主動配置下載方式由FPGA器件引導配置操作過程,它控制著外部存儲器和初始化過程;而被動配置方式則由外部計算機或控制器控制配置過程。FPGA在正常工作時,它的配置數(shù)據(jù)(下載進去的邏輯信息)存儲在SRAM中。由于SRAM的易丟失性,每次加電時,配置數(shù)據(jù)都必須重新下載。在實驗系統(tǒng)中,通常用計算機或控制器進行調(diào)試,因此可以使用被動配置方式。而在實用系統(tǒng)中,多數(shù)情況下必須由FPGA主動引導配置操作過程,這時FPGA將主動從外圍專用芯片中獲得配置數(shù)據(jù)。而此芯片中的FPGA配置信息是用普通編程器將設計得到的PDF格式的文件抄錄進去的。Altera公司提供了一系列FPGA專用配置器件,即EPC型號的存儲器。專用配置芯片通常是串行的PROM器件。大容量PROM器件也可提供并行接口,按可編程次數(shù)分為兩類:一類是OTP(一次可編程)器件;另一類是多次可編程的。在此設計中采用的配置器件EPC144-4是OTP型串行PROM。Altera公司為不同系列的器件提供了不同的程序下載方式。MAX器件可以通過JTAG斷口下載編程或者專用編程器進行編程下載:FLEX器件可以通過JTAG端口下載、ByteBlaster編程電纜并行口PS(被動串行)配置或者使用串行PROM配置實現(xiàn)。除了使用ByteBlaster進行并行下載,還可以使用BitBlaster進行串行下載和用ByteBlasterMV進行并行下載等。2.4總體框圖及各部分設計介紹:2.4.1功能框圖:30s2s15s2s東西向東西直紅東西直綠東西直黃東西直紅東西直紅東西拐紅東西拐紅東西拐紅東西拐綠東西拐黃南北向南北直綠南北直黃南北直紅南北直紅南北直紅南北拐紅南北拐紅南北拐綠南北拐黃南北拐紅20s2s10s2s2.4.2各部分輸入輸出介紹:?狀態(tài)機部分的輸入為td,輸出是t、db1、db2、ER1、EY1、EG1、ER2、EY2、EG2、NR1、NY1、NG1、NR2、NY2、NG2;?計數(shù)器部分的輸入為t、db1、db2,輸出為td及BCD碼;?譯碼顯示電路部分的輸入是BCD碼,輸出端顯示輸入的BCD碼代表的數(shù)字。2.5總體框圖設計及各模塊的功能介紹:2.5.1狀態(tài)機:當時鐘沿到來后,狀態(tài)機一方面分別給出高低電平,控制東西南北四個方向直行與左拐兩個方向的指示燈ER1、EY1、EG1、ER2、EY2、EG2、NR1、NY1、NG1、NR2、NY2、NG2的亮滅(E表示東西方向,N表示南北方向,R、Y、G分別表示紅黃綠三種顏色的燈,1表示直行方向,2表示南北方向),另一方面輸出t信號控制可預置數(shù)計數(shù)器或?qū)晌活A置數(shù)db2、db1(db2表示高位,db1表示低位)置入計數(shù)器中或開始計數(shù)。2.5.2可預置數(shù)計數(shù)器:由于各個方向車流量大小不同,導致各方向直行與左拐所需的時間也各不盡相同,從而使得定時的時間不同。為簡化設計、降低成本,本電路采用了可預置數(shù)的計數(shù)器。當狀態(tài)機的輸出t=0時置數(shù),t=1時開始計數(shù)。倒計時顯示電路同步顯示時間。td信號反饋回狀態(tài)機,實現(xiàn)對狀態(tài)機的控制。2.5.3譯碼顯示電路:本電路采用7446譯碼器與共陽數(shù)碼管組成譯碼顯示電路。當7446譯碼器的BI/RBO、RBI、LT端均接高電平時,譯碼器正常工作,共陽數(shù)碼管顯示數(shù)字。狀態(tài)機狀態(tài)機tdb1db2CLK可預置數(shù)計數(shù)器td譯碼顯示電路ER1EY1EG1ER2EY2EG2NR1NY1NG2NY2NR2NG1CLK圖5.總體框圖2.6狀態(tài)圖:ER1=1EY1=0EG1=0ER2=1EY2=0ER1=1EY1=0EG1=0ER2=1EY2=0EG2=0NR1=0NY1=1NG1=0NR2=1NY2=0NG2=0t20=0t2=1t10=0t30=0t15=0ER1=1EY1=0EG1=0ER2=1EY2=0EG2=0NR1=0NY1=0NG1=1NR2=1NY2=0NG2=0t20=1t2=0t10=0t30=0t15=0ER1=1EY1=0EG1=0ER2=1EY2=0EG2=0NR1=0NY1=1NG1=0NR2=1NY2=0NG2=0t20=0t2=1t10=0t30=0t15=0ER1=1EY1=0EG1=0ER2=1EY2=0EG2=0NR1=0NY1=0NG1=1NR2=1NY2=0NG2=0t20=1t2=0t10=0t30=0t15=0td=Xtd=1td=Xtd=Xtd=1td=XS0S1S2S3td=td=1ER1=1EY1=0EG1=0ER2=1ER1=1EY1=0EG1=0ER2=1EY2=0EG2=0NR1=1NY1=0NG1=0NR2=0NY2=0NG2=1t20=0t2=0t10=1t30=0t15=0ER1=1EY1=0EG1=0ER2=1EY2=0EG2=0NR1=1NY1=0NG1=0NR2=0NY2=0NG2=1t20=0t2=0t10=1t30=0t15=0ER1=1EY1=0EG1=0ER2=1EY2=0EG2=0NR1=1NY1=0NG1=0NR2=0NY2=1NG2=0t20=0t2=1t10=0t30=0t15=0ER1=1EY1=0EG1=0ER2=1EY2=0EG2=0NR1=1NY1=0NG1=0NR2=0NY2=1NG2=0t20=0t2=1t10=0t30=0t15=0td=Xtd=1td=Xtd=Xtd=Xtd=1td=Xtd=XS7S6S5S4td=1td=1( 接S8)ER1=0EY1=1EG1=0ER2=1EY2=0ER1=0EY1=1EG1=0ER2=1EY2=0EG2=0NR1=1NY1=0NG1=0NR2=1NY2=0NG2=0t20=0t2=1t10=0t30=0t15=0ER1=0EY1=1EG1=0ER2=1EY2=0EG2=0NR1=1NY1=0NG1=0NR2=1NY2=0NG2=0t20=0t2=1t10=0t30=0t15=0ER1=0EY1=0EG1=1ER2=1EY2=0EG2=0NR1=1NY1=0NG1=0NR2=1NY2=0NG2=0t20=0t2=0t10=0t30=1t15=0ER1=0EY1=0EG1=1ER2=1EY2=0EG2=0NR1=1NY1=0NG1=0NR2=1NY2=0NG2=0t20=0t2=0t10=0t30=1t15=0td=Xtd=1td=Xtd=Xtd=1td=XS8S9S10S11td=1td=1td=1td=1ER1=1EY1=0EG1=0ER2=0ER1=1EY1=0EG1=0ER2=0EY2=0EG2=1NR1=1NY1=0NG1=0NR2=1NY2=0NG2=0t20=0t2=0t10=0t30=0t15=1ER1=1EY1=0EG1=0ER2=0EY2=0EG2=1NR1=1NY1=0NG1=0NR2=1NY2=0NG2=0t20=0t2=0t10=0t30=0t15=1ER1=1EY1=0EG1=0ER2=0EY2=1EG2=0NR1=1NY1=0NG1=0NR2=1NY2=0NG2=0t20=0t2=1t10=0t30=0t15=0ER1=1EY1=0EG1=0ER2=0EY2=1EG2=0NR1=1NY1=0NG1=0NR2=1NY2=0NG2=0t20=0t2=1t10=0t30=0t15=0td=Xtd=1td=Xtd=Xtd=1td=X(接S0)S15S14S13S12解釋:由于東西南北四個方向均需考慮直行與左拐的情況,再加上都有置數(shù)和計數(shù)兩種情況,故共有16個狀態(tài)。當td=X時計數(shù)器置數(shù),當td=1時,計數(shù)器開始計數(shù)。狀態(tài)圖中的ER1、EY1、EG1、ER2、EY2、EG2、NR1、NY1、NG1、NR2、NY2、NG2分別表示東西和南北方向的直行與左拐的紅黃綠三色燈,其中,E表示東西方向,N表示南北方向,R、Y、G分別表示紅黃綠三種顏色,1表示直行方向,2表示南北方向;t20、t2、t10、t30、t15表示五種置數(shù)與計數(shù)要求,每種狀態(tài)下僅有一個要求滿足。ER1、EY1、EG1、ER2、EY2、EG2、NR1、NY1、NG1、NR2、NY2、NG2中為1是表示此燈亮,為0是表示此燈滅;t20、t2、t10、t30、t15中為1是表示在該狀態(tài)下要求啟動對應時間的置數(shù)或計數(shù)功能,為0是在該狀態(tài)下不能啟動對應時間的置數(shù)或計數(shù)功能。2.7VerilogHDL語言介紹:VerilogHDL語言就是在用途最廣泛的C語言的基礎上發(fā)展起來的一種硬件描述語言,是一種以文本形式來描述數(shù)字系統(tǒng)構和行為的語言,用它可以表示邏輯電路圖、邏輯表達式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。它是由GDA(GatewayDesignAutomation)公司的PhilMoorby在1983年末首創(chuàng)的,最初只設計了一個仿真與驗證工具,之后又陸續(xù)開發(fā)了相關的故障模擬與時序分析工具。1985年Moorby推出它的第三個商用仿真器Verilog-XL,獲得了巨大的成功,從而使得VerilogHDL迅速得到推廣應用。1989年CADENCE公司收購了GDA公司,使得VerilogHDL成為了該公司的獨家專利。1990年CADENCE公司公開發(fā)表了VerilogHDL,并成立LVI組織以促進VerilogHDL成為IEEE標準,即IEEEStandard1364-1995.。VerilogHDL的最大特點就是易學易用,如果有C語言的編程經(jīng)驗,可以在一個較短的時間內(nèi)很快的學習和掌握,因而可以把VerilogHDL內(nèi)容安排在與ASIC設計部進行講授,由于HDL語言本身是專門面向硬件與系統(tǒng)設計的,這樣的安排可以使學習者同時獲得設計實際電路的經(jīng)驗。與之相比,VHDL的學習要困難一些。但VerilogHDL較自由的語法,也容易造成初學者犯一些錯誤。本章小結:本章介紹了交通信號燈的設計功能及實現(xiàn)此功能的原理,介紹了產(chǎn)品中用到的FPGA芯片——EPF10K20TC144-4芯片的技術指標和下載方式以及所用的硬件描述語言——VerilogHDL語言。另外,給出了詳細功能框圖和每個模塊的功能、輸入和輸出信號,以及狀態(tài)機的狀態(tài)圖,并作出詳細的解釋。第5章總結與展望:5.1總結:通過基于數(shù)字電路的交通信號燈控制器的設計,加深了我們對數(shù)字電子技術課程的理解,學以致用,不但回顧了書中所學的基礎理論知識,還彌補了一些知識漏洞。同時熟悉了PROTEL99及QUARTUSII軟件的使用方法,練習了用VerilogHDL語言編寫程序,訓練了我們分工合作的團隊精神,收獲頗豐,令人難忘。在今后的學習中,我們更應該把將理論知識運用到實際中去,多實踐,多總結,提高我們對基礎知識的感性認識。在這次設計過程中也暴露出我們對軟件操作不熟悉、思考欠完整的缺點(譯碼顯示電路的設計欠妥),這是要在今后學習中努力克服的。5.2心得體會:通過這次課程設計,加強了我們動手、思考和解決問題的能力,培養(yǎng)了我們團結協(xié)作的精神,訓練了我們科學性的思維方式。在整個設計過程中,我們通過這個方案的設計進一步掌握了時序電路的設計思路,練習了PROTEL99及QUARTUSII軟件的使用方法,并用VerilogHDL語言描述了我們設計的電路。

在整個設計過程中,經(jīng)常會遇到這樣那樣的情況,但大家齊心協(xié)力想辦法,努力解決遇到的問題。在與隊友的討論中不斷豐富了我對知識的理解,彌補了知識上的欠缺,而且很大程度上提高了我對某些知識的再認識。另外,做課程設計的同時也是對課本知識的鞏固和加強,由于課本上的知識太多,平時課間的學習并不能很好的理解和運用各個元件的功能,而且考試內(nèi)容有限,所以在這次完成課程設計的過程中,我了解到了很多元件的功能,并且對于其在電路中的使用有了更多的認識。過程曲折可謂一言難盡。在此期間我們也失落過,也曾一度熱情高漲。從開始時滿富激情到最后汗水背后的復雜心情,點點滴滴無不令我回味無長。

對我們而言,知識上的收獲重要,精神上的豐收更加可喜。挫折是一份財富,經(jīng)歷是一份擁有。這次實踐必將成為我人生中一個非常美好的回憶!這次課程設計終于順利完成了,在設計中遇到了很多專業(yè)知識問題,最后都在夏老師的辛勤指導下全部解決。同時,在老師的身上我學也到很多實用的知識,在此對老師表示感謝!另外,對給過我?guī)椭乃型瑢W和各位學長也表示忠心的感謝!

自動化0901班(簽名)

數(shù)電課程設計是培養(yǎng)學生綜合運用所學知識,發(fā)現(xiàn)、提出、分析和解決實際問題鍛煉實踐能力的重要環(huán)節(jié),是對學生實際工作能力的具體訓練和考察過程。回顧此次課程設計的完成過程,我感慨頗多。的確,從選題到定稿,從理論到實踐,在這短短的時間里,可以說是苦多于甜,但是可以學到很多很多東西。不僅可以鞏固以前所學的知識,還可以學到書本上沒有學過的知識。通過這次實踐,使我懂得了理論與實踐相聯(lián)系的重要性。只有理論知識是遠遠不夠的,只有把理論與實踐充分的結合起來

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論