版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
邏輯綜合2024/11/812概述綜合是將RTL源代碼轉(zhuǎn)換成門級網(wǎng)表的過程。電路的邏輯綜合一般由三個(gè)步驟組成,即綜合=轉(zhuǎn)化+邏輯優(yōu)化+映射(見下頁圖)(Synthesis=Translation+LogicOptimization+Mapping)在綜合過程中,優(yōu)化進(jìn)程嘗試完成庫單元的組合,使組合成的電路能最好地滿足設(shè)計(jì)的功能、時(shí)序和面積的要求綜合是約束驅(qū)動(constraintdriven)的,給定的約束是綜合的目標(biāo)。約束一般是在對整個(gè)系統(tǒng)進(jìn)行時(shí)序分析得到的,綜合工具會對電路進(jìn)行優(yōu)化以滿足約束的要求。綜合以時(shí)序路徑為基礎(chǔ)進(jìn)行優(yōu)化。常用的綜合工具有Synopsys公司的DesignCompiler和Candance公司的EnconterRTLCompiler2024/11/832024/11/84綜合過程中時(shí)序與面積的關(guān)系從下圖中可以看出面積與延遲的折衷關(guān)系,綜合工具以約束為指導(dǎo),在滿足時(shí)序的情況下獲得最小面積。如果不施加約束,綜合工具會產(chǎn)生非優(yōu)化的網(wǎng)表,而這是不能滿足要求的網(wǎng)表。所以約束對于綜合來說是必不可少的。
2024/11/85綜合的基本流程
1.準(zhǔn)備RTL代碼:RTL代碼經(jīng)過前端仿真后才能用于綜合。 2.定義庫:設(shè)定好所需要用到的綜合庫等多種庫。 3.讀入設(shè)計(jì):綜合工具讀入RTL代碼并進(jìn)行分析。
4.定義設(shè)計(jì)環(huán)境:設(shè)定設(shè)計(jì)的工作環(huán)境、端口的驅(qū)動和負(fù)載,線負(fù)載模型等。
5.設(shè)置設(shè)計(jì)約束:這是綜合的一個(gè)極其重要的環(huán)節(jié),設(shè)定好正確的約束才能得到正確的綜合結(jié)果。約束要適當(dāng),不能過緊或過松。主要是定義時(shí)鐘和I/O的約束。 6.設(shè)置綜合策略:有top-down和bottom-up兩種策略,各有所長,對于不同的設(shè)計(jì)要具體分析。 7.優(yōu)化設(shè)計(jì):綜合工具可以根據(jù)約束對電路進(jìn)行優(yōu)化,也可以人為地加入命令,改變優(yōu)化方法。 8.分析和解決設(shè)計(jì)的問題:在設(shè)計(jì)綜合(compile)后,根據(jù)報(bào)告來分析設(shè)計(jì)中出現(xiàn)的問題,進(jìn)而修訂所出現(xiàn)的問題。
9.保存設(shè)計(jì)數(shù)據(jù):綜合完成后,保存各種數(shù)據(jù),以供后續(xù)的布局布線使用(需先通過驗(yàn)證)。2024/11/86
BasicFlowDevelopHDLfilesSpecifylibrariesReaddesignDefinedesignenvironmentSetdesignconstraintsSelectcompilestrategyOptimizethedesignAnalyzeandresolvedesignproblemSavethedesigndatabase2024/11/87DesignCompiler簡介DesignCompiler有兩種界面供用戶使用,一種是命令界面,一種是圖形界面。在UNIX命令行下分別執(zhí)行以下命令可以分別進(jìn)入上述兩種界面:
unix%dc_shell-t
(命令界面)
unix%design_vision
(圖形界面) DesignCompiler支持TCL(ToolCommandLanguage)語言,它是公開的業(yè)界標(biāo)準(zhǔn)界面語言。DC-Tcl在TCL的基礎(chǔ)上擴(kuò)展豐富了TCL,使用戶可以更加靈活方便地運(yùn)用TCL命令來對電路進(jìn)行分析和優(yōu)化。DC中包含了多種工具,如DFTCompiler,PowerCompiler,HDLCompiler,LibraryCompiler等,使得DC具有強(qiáng)大的功能,成為業(yè)界最流行的綜合工具。2024/11/88目標(biāo)庫和初始環(huán)境的設(shè)置DC啟動時(shí)會先啟動.synopsys_dc.setup文件,它里面設(shè)定了綜合所需要的工藝庫的信息以及一些對于工具的設(shè)定命令。在綜合之前,要設(shè)定好所需要的庫,如綜合庫、I/O單元庫,IP核等。半導(dǎo)體廠商提供與DC兼容的工藝庫-綜合庫,它包含許多信息,如單元的功能、面積、時(shí)間、功耗、測試等,線負(fù)載模型,工作條件和設(shè)計(jì)規(guī)則約束等。在0.18um的工藝下,可采用非線性延遲模型(NLDM)來計(jì)算單元的延遲。單元的延遲與輸入的邏輯轉(zhuǎn)換時(shí)間和輸出負(fù)載有關(guān)。根據(jù)每個(gè)單元的輸入邏輯轉(zhuǎn)換時(shí)間和輸出負(fù)載,在工藝庫提供的查找表(Look-UpTable)中查出單元的延遲。2024/11/89.synopsys_dc.setup文件主要包括各種庫的設(shè)定,變量的設(shè)定等。下面具體介紹各種庫的意義及如何設(shè)定。(實(shí)例)
目標(biāo)庫(target_library): 是DC在做編譯(compile)的時(shí)候來構(gòu)成電路圖的,將電路映射到具體的單元上。例如
settarget_librarymy_tech.db
鏈接庫(link_library): 是將設(shè)計(jì)連接到對應(yīng)的庫上,一般包含目標(biāo)庫、宏單元、IP核等。例如:
set
link_library“*my_tech.db”。其中“*”指明當(dāng)鏈接設(shè)計(jì)時(shí),DC先搜尋內(nèi)存中已有的庫,然后再搜尋變量link_library中制定的其它庫。
符號庫(symbol_library): 定義了單元顯示的圖形庫,當(dāng)用design_vision來查看圖形的時(shí)候使用。
綜合庫(synthetic_library): 是由Synopsys公司提供的DesignWare庫,包含了許多IP核及運(yùn)算單元,用于實(shí)現(xiàn)verilog描述的運(yùn)算符,為電路的優(yōu)化起著重要的作用。 搜尋路徑(search_path): 指定各種庫的路徑,可以將所用的庫的路徑放入search_path中,在設(shè)定target_library和link_library時(shí)就不必加上庫的絕對路徑,DC會自動在search_path中尋找所用到的庫的路徑從而讀入該庫。.synopsys_dc.setup文件2024/11/810
變量的設(shè)定:設(shè)置的變量在DC啟動時(shí)讀入,便于綜合的處理,同時(shí)有些DC的變量必須在設(shè)置文件中輸入。如可以設(shè)定命令的簡寫,保存多少條命令等:aliascudcurrent_designaliasrptreport_timinghistorykeep3002024/11/811綜合腳本實(shí)例(.synopsys_dc.setup)2024/11/812系統(tǒng)層次的劃分與基本概念在DC中,每個(gè)設(shè)計(jì)由6個(gè)設(shè)計(jì)物體組成,它們分別是design,cell,port,pin,net和clock。其中clock是特別的端口,它存在DC內(nèi)存中,是用戶自己定義的物體。如下圖所示:2024/11/813當(dāng)前設(shè)計(jì)為TOP。Port和Pin是與當(dāng)前設(shè)計(jì)有關(guān)的一對概念,如果當(dāng)前設(shè)計(jì)改變,相應(yīng)的port和pin也會不同2024/11/814設(shè)計(jì)讀入讀入設(shè)計(jì)有多種方法,DC支持讀入Verilog,VHDL,可以是RTL級代碼,也可以是門級網(wǎng)表,也可是ddc格式的文件。綜合前的設(shè)計(jì)不需用RTL格式(雖然也有其它格式)輸入到DC中??梢杂靡韵旅钭x入設(shè)計(jì):
1.analyze和elaborate命令:這是兩個(gè)不同的命令,它使得設(shè)計(jì)人員可以在建立設(shè)計(jì)通用邏輯之前先對設(shè)計(jì)進(jìn)行語法錯(cuò)誤和RTL轉(zhuǎn)換分析。2.read_file命令:如:
read_file–formatverilogsd_clk.v3.read_verilog或read_vhdl命令,這些是從read_file中分離出來的命令,如:
read_verilogsd_clk.v讀入代碼后用命令current_design和link將讀入的設(shè)計(jì)和綜合庫連接起來。2024/11/815電路的設(shè)計(jì)目標(biāo)與約束RTL模塊綜合的流程如下圖所示。電路的約束分為設(shè)計(jì)環(huán)境和設(shè)計(jì)約束,DC以約束為目標(biāo)進(jìn)行電路優(yōu)化。2024/11/816設(shè)計(jì)環(huán)境 通過環(huán)境約束的設(shè)計(jì),將設(shè)計(jì)所處的真實(shí)環(huán)境因素包含進(jìn)去,使得設(shè)計(jì)可以正常工作在真實(shí)環(huán)境下。環(huán)境約束如下圖所示:
2024/11/817set_operating_conditions描述了設(shè)計(jì)的工藝、電壓及溫度條件。Synopsys庫包含這些條件的描述,通常為WORST,TYPICAL,BEST情況。工作條件的名稱可庫的名稱是相關(guān)的,如SMIC0.18um工藝提供slow.db,typical.db,fast.db三種工藝庫,它們的工作條件分別是slow(WORST),typical(TYPICAL)和fast(BEST)。WORST情況通常用于綜合階段,而BEST情況通常用于修正保持時(shí)間違規(guī)。有時(shí)可能同時(shí)用WORST和BEST情況同時(shí)優(yōu)化設(shè)計(jì),這時(shí)使用命令:
set_operating_conditions-maxslow-max_libraryslow\ -minfast-min_libraryfast可以用set_min_library指定best和worst兩種庫,在設(shè)計(jì)中所用到的庫都可以指定。如: #為綜合用的sram庫指定最佳情況可最壞情況
set_min_librarysram_slow.db–min_visionsram_fast.db2024/11/818set_wire_load_model命令為DC提供估計(jì)的線負(fù)載信息,反過來DC使用線載信息把連線延遲建模為負(fù)載的函數(shù),Synopsys工藝庫里提供了多種線載模型,每個(gè)模型代表一個(gè)特定大小的模塊。設(shè)計(jì)人員需要準(zhǔn)確地選擇線載模型。設(shè)置線載模型命令如下:
set_wire_load_model–namesmic18_wl10–libraryslowset_wire_load_mode定義了三種同建模線負(fù)載模型相關(guān)的模式,分別是top,segmented和enclosed,如下圖所示:2024/11/819
1.top模式定義層次中所有連線將繼承和頂層模型同樣的線載模型。如上圖中,所有的連線都繼承頂層的線載模型50x50。
2.segmented模式用于跨越層次邊界的連線。如上圖中,子模塊A和子模塊B中的連線繼承各自的線載模型,而A與B之間的連線繼承模塊MID的線載模型。
3.enclosed模式指定所有的連線(屬于子模塊的)將繼承完全包含該子模塊的模塊線載模型。如上圖中,整條連線處于模塊MID的完全包圍中,所以采用40x40線載模型。其命令如下
set_wire_load_modeenclosedset_driving_cell和set_drive用于設(shè)置模塊輸入端口的驅(qū)動能力。set_drive命令用于指定輸入端口的驅(qū)動強(qiáng)度,它主要用于模塊或芯片端口外驅(qū)動電阻。set_driving_cell用于對輸入端口的驅(qū)動電阻進(jìn)行建模,這一命令將驅(qū)動單元的名稱作為其參數(shù)并將驅(qū)動單元的所有設(shè)計(jì)規(guī)則約束應(yīng)用于模塊的輸入端口。 set_driving_cell –lib_celland2a0[get_portsIN1]\ –libraryslow2024/11/820
set_drive10[get_portsData_in_0]set_load將工藝庫中定義的單位(通常為pf)上的容性負(fù)載設(shè)置到設(shè)計(jì)的指定連線或端口,設(shè)置輸出端口的負(fù)載。
set_load5[get_portsOUT1] set_load[load_ofmy_lib/and2a0/A][get_portsOUT1]2024/11/821設(shè)計(jì)約束描述了設(shè)計(jì)目標(biāo),包括時(shí)序和面積等。DC會依據(jù)約束來優(yōu)化電路。因?yàn)椴粚?shí)際的規(guī)范會導(dǎo)致面積增大、功耗增加和/或時(shí)序惡化,所以設(shè)計(jì)人員必須根據(jù)情況指定實(shí)際的約束,約束設(shè)計(jì)的基本命令如下圖所示。設(shè)計(jì)約束2024/11/822單周期同步設(shè)計(jì)的時(shí)序要求DC默認(rèn)的時(shí)序電路是單周期的,如下圖所示。假設(shè)FF3的建立時(shí)間為Tsetup,保持時(shí)間為Thold,觸發(fā)器FF2的時(shí)鐘端到Q端的延遲為Tclk-q,對于setup來說:clockperiod>Tclk-q+Tx-max+Tsetup對于hold來說:Thold<Tclk-q+Tx-min時(shí)鐘2024/11/823定義時(shí)鐘
create_colck–period10[get_portclk]
對于時(shí)鐘(除了虛擬時(shí)鐘)的定義來說,時(shí)鐘周期和時(shí)鐘源(port或pin)是必不可少的,還可以定義時(shí)鐘的占空比,時(shí)鐘名等。定義虛擬時(shí)鐘時(shí),不必定義時(shí)鐘源。設(shè)定時(shí)鐘的uncertainty、latency和transition,其中uncertainty是用來模擬時(shí)鐘的skew和jetter,latency設(shè)定時(shí)鐘的延遲,transition設(shè)定時(shí)鐘的轉(zhuǎn)換時(shí)間。通過這些設(shè)定讓時(shí)鐘更加接近實(shí)際情況,更有利于綜合的準(zhǔn)確性,但過分約束會使得綜合起來比較困難。由于時(shí)鐘一般是高扇出(highfanout)的,DC不會對高扇出的連線做設(shè)計(jì)規(guī)則(DRC)的檢查和優(yōu)化。DC默認(rèn)的時(shí)鐘網(wǎng)絡(luò)是理想的。在綜合時(shí)不對時(shí)鐘的高扇出做處理,而是留在后續(xù)的布局布線中做時(shí)鐘樹綜合(CTS)。2024/11/824sourcelatency是指實(shí)際的時(shí)鐘源到時(shí)鐘定義點(diǎn)的傳播延遲,而networklatency是指設(shè)計(jì)內(nèi)部從時(shí)鐘定義點(diǎn)到觸發(fā)器時(shí)鐘端的延遲。如下圖所示,設(shè)置如下:
create_clock–period10[get_portsCLK]
#設(shè)置sourcelatency為3ns
set_clock_latency–source3[get_clocksCLK]
#設(shè)置networklatency為1ns set_clock_latency1[get_clocksCLK]2024/11/825set_clock_uncertainty命令讓用戶定義時(shí)鐘扭斜信息?;旧洗嗣钣糜诮o時(shí)鐘的建立時(shí)間和保持時(shí)間增加一定的余量。由時(shí)鐘的抖動(jitter)可扭斜(skew)組成,如下圖:
set_clock_uncertainty0.6[get_clocksCLK]set_clock_transition命令讓用戶定義時(shí)鐘的轉(zhuǎn)換時(shí)間。如下圖
set_clock_transition0.45[get_clocksCLK]2024/11/826除了create_clock命令,還有create_generated_clock命令用來創(chuàng)建產(chǎn)生的時(shí)鐘,如時(shí)鐘經(jīng)過分頻后的時(shí)鐘,在創(chuàng)建生成時(shí)鐘時(shí),要指定源時(shí)鐘的名字和端口,分頻數(shù)(倍頻數(shù)),生成時(shí)鐘的端口。
create_generated_clock–sourceclk2x–divied_by2\ [get_pinsclk]有時(shí)需要?jiǎng)?chuàng)建虛擬時(shí)鐘(virtualclock)用來說明相對于時(shí)鐘的I/O端口的延遲,虛擬時(shí)鐘在設(shè)計(jì)里并不驅(qū)動觸發(fā)任何寄存器。
#建立虛擬時(shí)鐘,周期為10ns,命名為vclk,不需要定義時(shí)鐘源
create_clock–period10–namevclk通常給時(shí)鐘加上dont_touch的約束,使得DC在綜合的時(shí)候不會給時(shí)鐘網(wǎng)絡(luò)加入BUFFER以滿足skew的要求,時(shí)鐘網(wǎng)絡(luò)通常留在布局布線階段進(jìn)行時(shí)鐘樹綜合(CTS)。
set_dont_touch_network[get_clocksclk]
2024/11/827I/O的約束set_input_delay指定相對于時(shí)鐘的信號輸入的到達(dá)時(shí)間。它用在輸入端口,指定在時(shí)鐘沿后數(shù)據(jù)穩(wěn)定所需的時(shí)間。如下圖所示,設(shè)置的輸入延遲的值為Tclk-q
+TM。2024/11/828例:根據(jù)外部邏輯的延遲設(shè)定輸入延遲
#創(chuàng)建時(shí)鐘
create_clock–period20–nameClk[get_portsClk]
#將時(shí)鐘設(shè)置為dont_touch_network
set_dont_touch_network[get_clocksClk]
#設(shè)置輸入延遲,外部邏輯延遲最大值為7.4,加入-max選項(xiàng) #用于setup分析(setup分析采用最慢的路徑延遲)
set_input_delay7.4–max–clockClk[get_portsA]
2024/11/829set_output_delay命令用于在輸出端口定義在時(shí)鐘邊沿來到之前數(shù)據(jù)有效所需時(shí)間。如下圖所示,設(shè)置輸出時(shí)間的值為TT
+Tsetup2024/11/830例:設(shè)置輸出延遲
#創(chuàng)建時(shí)鐘
create_clock–period20–nameClock[get_portsClock]
#將時(shí)鐘設(shè)置為dont_touch_network
set_dont_touch_network[get_clocksClock]
#設(shè)置輸入延遲,外部邏輯setup要求為7.0,即為setup分析設(shè) #定輸出延遲
set_output_delay7.0–max–clockClock[get_portsB]2024/11/832DRC約束DRC即DesignRuleConstraints,一般由半導(dǎo)體廠商提供,在使用工藝庫中的邏輯單元時(shí)對其連接所強(qiáng)加的限制,主要有:max_capacitance,max_transition,max_fanout
#為當(dāng)前設(shè)計(jì)設(shè)定最大電容值為0.5pf
set_max_capacitance0.5[current_design] #為當(dāng)前設(shè)計(jì)設(shè)定最大轉(zhuǎn)換時(shí)間為2.0ns,在整個(gè)設(shè)計(jì)上施加最 #大轉(zhuǎn)換時(shí)間延遲可以幫助防止在上連線上出現(xiàn)長的轉(zhuǎn)換時(shí)間, #也可以約束單元的輸出端的轉(zhuǎn)換時(shí)間以減少其功耗
set_max_transition2.0[current_design]
#在當(dāng)前設(shè)計(jì)的所有單元的輸出引腳上設(shè)置max_fanout
set_max_fanout10[current_design]在DC優(yōu)化時(shí),DRC約束具有最高的優(yōu)先級,DC會先讓電路滿足DRC,然后才是時(shí)序約束。2024/11/833面積約束我們用set_max_area來設(shè)定面積約束。例如
set_max_area1000 set_max_area0面積的單位由工藝庫定義,可以是:
1.2輸入與非門 2.晶體管數(shù)目 3.平方微米設(shè)定了面積約束,DC會盡量優(yōu)化到所設(shè)定的面積;當(dāng)沒有設(shè)定面積約束時(shí),DC會做最小限度的面積優(yōu)化;若設(shè)定為0,則DC會對面積做優(yōu)化直到再繼續(xù)優(yōu)化也沒有大的效果,這樣可以使得面積優(yōu)化最小,但運(yùn)行時(shí)間較長??捎妹顁eport_area報(bào)告面積。2024/11/834時(shí)序例外時(shí)序例外有以下幾種:1.Falsepaths。用set_false_path來設(shè)定路徑使得DC不對其進(jìn)行時(shí)序分析,移除該路徑上的時(shí)序約束。通常異步電路的時(shí)鐘之間、邏輯上不存在的路徑等上設(shè)定為falstpath2.Minimumandmaximumpathdelayvalue。我們使用命令set_max_delay和set_min_delay來覆蓋默認(rèn)的用于setup和hold約束的最大/最小延遲。3.Multicyclepaths。由于DC默認(rèn)的是單周期,有時(shí)某些路徑可能需要多個(gè)周期的時(shí)間才能到達(dá),如在兩個(gè)寄存器之間進(jìn)行乘法操作可能需要多個(gè)周期才能完成,這時(shí)用命令set_muticycle_path來設(shè)定多周期路徑,以滿足時(shí)序要求。同時(shí)要注意setupcheck和holdcheck的設(shè)定。2024/11/835綜合策略的選擇綜合的策略有兩種:自頂向下和自底向上。 1.自頂向下(top-down):在top-down的綜合方案中,頂層模塊和它的所有子模塊放在一起優(yōu)化,所有的限制條件和電路工作環(huán)境一般也都是針對頂層模塊設(shè)置的,因此,這種綜合方案能夠自動將模塊之間的連接和依賴關(guān)系考慮到綜合中去,從而優(yōu)化綜合結(jié)果。但是,這種方法對于一個(gè)規(guī)模比較大的電路顯然不適合,因?yàn)樵诰C合的過程中,所有的模塊必須同時(shí)存在于存儲區(qū)中,而且運(yùn)行時(shí)間也會比較長。
2.自底向上(bottom-up):即分而治之的解決方法。從最底層模塊開始綜合優(yōu)化,必須對所有底層子模塊施加限制條件并且需要單獨(dú)優(yōu)化,這個(gè)過程一直延續(xù)到頂層模塊。其中每一個(gè)子模塊綜合完成之后,直接將該模塊集成到它的上一級中和上一級的其他模塊一起優(yōu)化,而且一般使用set_dont_touch命令讓DC不再改變該子模塊的結(jié)構(gòu)。使用這種方法進(jìn)行優(yōu)化的優(yōu)點(diǎn)是當(dāng)工作站的處理能力不足時(shí),可以分別進(jìn)行子模塊的優(yōu)化,而不需要將所有的模塊都放到存儲區(qū)中,這種做法的缺點(diǎn)是只能在子模塊內(nèi)部進(jìn)行優(yōu)化,無法考慮到模塊周圍的環(huán)境而將子模塊和其他的模塊一起優(yōu)化。2024/11/836設(shè)計(jì)優(yōu)化采用命令compile或compile_ultra來啟動DC綜合和優(yōu)化的進(jìn)程。DC提供許多選項(xiàng)來設(shè)定進(jìn)行何種綜合。compile_ultra命令具有強(qiáng)大的功能,使用該命令可以得到更好的延遲質(zhì)量,特別適用于高性能的算術(shù)電路優(yōu)化。該命令包含了以時(shí)間為中心的優(yōu)化算法。compile_ultra命令主要的開關(guān)選項(xiàng)有:
-scan#做可測性設(shè)計(jì)編輯,加入掃描鏈 -no_autoungroup#關(guān)掉自動取消劃分特性 -no_boundary_oprimization#不作邊界優(yōu)化 -area_high_effort_script#面積優(yōu)化 -timing_high_effort_script#時(shí)序優(yōu)化2024/11/837
電路的優(yōu)化分為三個(gè)階段:結(jié)構(gòu)級的優(yōu)化,邏輯級優(yōu)化和門級優(yōu)化,如下圖所示。2024/11/838 1.結(jié)構(gòu)級的優(yōu)化,包括:
1.1設(shè)計(jì)結(jié)構(gòu)的選擇:在DesignWare中選擇最合適的結(jié)構(gòu)或算法實(shí)現(xiàn)電路的功能。
1.2數(shù)據(jù)通路的優(yōu)化:選擇CSA等算法優(yōu)化數(shù)據(jù)通路的設(shè)計(jì)
1.3共用子表達(dá)式:例如下面兩個(gè)等式:
SUM1<=A+B+C; Temp<=A+B; SUM2<=A+B+D; SUM1<=Temp+C; SUM3<=A+B+E; SUM2<=Temp+D
; SUM3<=Temp+E; 這種方法可以把比較器的數(shù)目減少,共享共同的子表達(dá)式。
2024/11/839 1.4資源共享。如右圖所示,如果沒有資源 共享,DC將綜合出兩個(gè)加法器和一個(gè)多路 器,采用資源共享后,僅綜合出一個(gè)加法 器和兩個(gè)多路器,這樣即節(jié)省了面積又提高 了時(shí)序。2024/11/840 1.5重新排序運(yùn)算符號:由于VHDL/HDL編譯器從座到右解析表達(dá)式,括號的優(yōu)先級更高,可以通過改變表達(dá)式的次序或用括號強(qiáng)制電路用不同的拓?fù)浣Y(jié)構(gòu)。如下面的表達(dá)式: Z=A+B+C+D;則初始的排序?yàn)椋?/p>
加上括號改變運(yùn)算次序從而改變電路結(jié)構(gòu):
Z=((B+C)+D)+A;
2024/11/841 2.邏輯級優(yōu)化。做完結(jié)構(gòu)的優(yōu)化后,電路的功能以GETCH的器件來表示。在邏輯級優(yōu)化的過程中,可以作結(jié)構(gòu)(structuring)優(yōu)化和展平(flattening)優(yōu)化。
2.1.結(jié)構(gòu)優(yōu)化:用共用子表達(dá)式來減少邏輯,這種方式既可用作速度優(yōu)化又可用作面積優(yōu)化,結(jié)構(gòu)優(yōu)化是DC默認(rèn)的優(yōu)化策略。結(jié)構(gòu)優(yōu)化在作邏輯優(yōu)化時(shí),在電路中加入中間變量和邏輯結(jié)構(gòu)。
2.2.展平優(yōu)化:把組合邏輯路徑減少為兩級,變?yōu)槌朔e之和的電路,即先與后或的電路。如下圖所示:
2024/11/842 3.門級優(yōu)化:門級優(yōu)化時(shí),DC開始映射,完成實(shí)現(xiàn)門級電路。映射的優(yōu)化過程包括四個(gè)階段:延遲優(yōu)化,設(shè)計(jì)規(guī)則修整,以時(shí)序?yàn)榇鷥r(jià)的設(shè)計(jì)規(guī)則修整,面積優(yōu)化。
2024/11/843靜態(tài)時(shí)序分析在進(jìn)行綜合時(shí),DC用內(nèi)建的靜態(tài)時(shí)序分析工具DesignTime來估計(jì)路徑的延遲以指導(dǎo)優(yōu)化的決定。綜合時(shí),可用DesignTime來產(chǎn)生時(shí)間的報(bào)告。靜態(tài)時(shí)序分析(StaticTimingAnalysis),簡稱STA,可以不進(jìn)行動態(tài)仿真就決定電路是否滿足時(shí)序要求。靜態(tài)時(shí)序分析包括三個(gè)主要的步驟:
1.把設(shè)計(jì)分解成時(shí)間路徑的集合 2.計(jì)算每一條路徑的延遲 3.所有路徑延遲都要檢查(與時(shí)間的約束做比較),看它們是否滿足時(shí)間的要求DC以下面的方法把設(shè)計(jì)分解成時(shí)序路徑的集合。每條路徑有一個(gè)起點(diǎn)(startpoint)和終點(diǎn)(endpoint)。 起點(diǎn)定義為:輸入端口、觸發(fā)器或寄存器的時(shí)鐘引腳,如下圖的A,F(xiàn)F1/CLK,FF2/CLK
終點(diǎn)定義為:輸出端口、時(shí)序器件除時(shí)鐘引腳外的所有輸入引腳,如下圖的Z,F(xiàn)F1/D,F(xiàn)F2/D為了便于時(shí)序分析,時(shí)序路徑被分組。路徑按照它們終點(diǎn)的時(shí)鐘進(jìn)行分組,如果終點(diǎn)沒有被時(shí)鐘控制,則這些路徑歸于默認(rèn)(default)的路徑組。2024/11/8441234每一條時(shí)序路徑從起點(diǎn)開始,經(jīng)過一些組合邏輯,到終點(diǎn)結(jié)束。如下圖,時(shí)序路徑大致可分為以下四種:1.從輸入端口開始,到時(shí)序器件的數(shù)據(jù)輸入端口結(jié)束,即input-to-reg,如Path1。2.從時(shí)序器件的時(shí)鐘端口開始,到時(shí)序器件的數(shù)據(jù)輸入端口結(jié)束,即reg-to-reg,如Path2。3.從時(shí)序器件的時(shí)鐘端口開始,到輸出結(jié)束,即reg-to-output,如Path3。4.從輸入開始,到輸出結(jié)束,即input-to-output,如Path42024/11/845路徑的延遲。在計(jì)算路徑的延遲時(shí),DC把每一條路徑分成時(shí)間?。╰imingarc),如下圖所示。時(shí)間弧描述單元和/或連線的時(shí)序特性。單元的時(shí)間弧由工藝庫定義,包括單元的延遲和時(shí)序檢查(如寄存器的setup/hold檢查等)。連線的時(shí)間弧由網(wǎng)表定義。單元延遲通常用非線性模型(NLDM)計(jì)算,連線延遲用先負(fù)載模型計(jì)算(版圖前)。路徑的延遲與起點(diǎn)的邊沿有關(guān),即單元的時(shí)間弧是邊沿敏感的。2024/11/846單元延遲(celldelay):工藝庫中提供2維的NLDM的查找表來計(jì)算單元的延遲。輸出負(fù)載(outputload)和輸入轉(zhuǎn)換時(shí)間(inputtransition)決定了單元延遲和輸出轉(zhuǎn)換時(shí)間(outputtransition),如下圖所示:2024/11/847連線延遲(wiredelay):通過連線上的RC參數(shù)計(jì)算連線延遲,WLM決定線上的R和C。互連延遲的計(jì)算從驅(qū)動引腳的狀態(tài)轉(zhuǎn)換到接收單元輸入引腳狀態(tài)轉(zhuǎn)換。RC寄生參數(shù)的分布由operating_condition中的Tree-type決定,有以下三種:2024/11/848建立時(shí)間和保持時(shí)間檢查2024/11/849DC中,常用report_timing命令來報(bào)告設(shè)計(jì)的時(shí)序是否滿足目標(biāo),執(zhí)行report_timing命令時(shí),DC做4個(gè)步驟:
1.把設(shè)計(jì)分解成單獨(dú)的時(shí)間組; 2.每條路徑計(jì)算兩條延遲,一次起點(diǎn)為上升沿,一次起點(diǎn)為下降沿; 3.在每個(gè)路徑組里找出關(guān)鍵路徑(criticalpath),即延遲最大的路徑; 4.顯示每個(gè)時(shí)間組的時(shí)間報(bào)告。report_timing命令的默認(rèn)行為是報(bào)告每個(gè)時(shí)序路徑理的關(guān)鍵路徑??梢栽O(shè)置各種選項(xiàng)以查看不同的類型時(shí)序或不同路徑的時(shí)序。具體用法可在dc_shell使用man命令來查看report_timing命令的詳細(xì)介紹。時(shí)序報(bào)告和時(shí)序問題的診斷2024/11/850在DC綜合完成后,要保存好相應(yīng)的數(shù)據(jù),輸出相應(yīng)的網(wǎng)表,SDC(standarddesignconstraints)文件,供給后續(xù)的布局布線工具使用,也可以供給PT讀入,檢查時(shí)序是否滿足。綜合結(jié)果要經(jīng)過PT分析滿足時(shí)序要求,經(jīng)過驗(yàn)證工具(如Formaility)的驗(yàn)證滿足后才能提供給布局布線工具使用。還可以保存ddc格式的文件,以便DC下次啟動的時(shí)候讀入,ddc文件保存了上次DC運(yùn)行的各種數(shù)據(jù)。具體的命令有:write–fverilog–hierarchy–outputmy_design.vwrite–fddc–hierarchy–outputmy_design.ddcwrite_sdcmy_design.sdc
數(shù)據(jù)的保存2024/11/851RTL綜合流程2024/11/852可測性設(shè)計(jì)隨著電路設(shè)計(jì)的規(guī)模越來越大,工藝尺寸越來越小,集成度越來越高,測試的成本也越來越高。為了降低測試的成本和難度,提高芯片質(zhì)量和成品率,需要在為芯片進(jìn)行可測性設(shè)計(jì)(DesignForTestability),簡稱DFT??蓽y性設(shè)計(jì)包含了很豐富的內(nèi)容,它包含了DFT電路的設(shè)計(jì)和測試向量的生成(ATPG)。目前常用的DFT技術(shù)有:掃描鏈插入、存儲器BIST插入、邏輯BIST插入和邊界掃描插入。其中掃描鏈插入是最常用的DFT技術(shù)。Synopsys的DFTCompiler就是包含在DC內(nèi)的用于可測性設(shè)計(jì)的工具。具有強(qiáng)大的功能,如:為邏輯模塊進(jìn)行“掃描就緒(scan-ready)”的編輯、檢查已綜合電路是否滿足掃描規(guī)則、用top-down或bottom-up方法插入掃描鏈、對掃描模塊預(yù)覽測試覆蓋率等。2024/11/853低功耗設(shè)計(jì)與分析隨著技術(shù)的發(fā)展,集成度的提高,芯片內(nèi)部的單元數(shù)越來越多,功耗也越來越大,同時(shí)基于低功耗低成本、方便攜帶以及可靠性好等方面,低功耗設(shè)計(jì)變得越來越重要,在大型的設(shè)計(jì)中是必不可少的。進(jìn)行低功耗設(shè)計(jì)時(shí)需要三方面的內(nèi)容:
1.功耗模型:功耗管理的基本結(jié)構(gòu)、IC設(shè)計(jì)中所用工藝庫的功耗模型
2.功耗分析:分析設(shè)計(jì)中每個(gè)單元的功耗、分析所有級設(shè)計(jì)(RTL代碼、門級網(wǎng)表和版圖設(shè)計(jì))的功耗
3.功耗優(yōu)化:在所有級的設(shè)計(jì)都能降低功耗、采用多種降低功耗的方法:門控時(shí)鐘電路、操作數(shù)分離、門級電路的功耗優(yōu)化、多供電電壓、多閾值電壓、門控功耗等2024/11/854靜態(tài)時(shí)序分析工具PrimeTime常用的靜態(tài)時(shí)序分析工具是PrimeTime,它是Synopsys公司的簽收級(sign-off)工具,具有強(qiáng)大的時(shí)序分析功能。PT啟動時(shí),導(dǎo)入.synopsys_pt.setup的啟動文件,該文件主要定義了搜尋路徑(search_path)和鏈接庫(link_library)。PT只可以讀入門級網(wǎng)表,而不能讀入RTL級的代碼。PT內(nèi)含了PrimePower(功耗分析工具)、PrimeTimeSI(信號完整性分析工具)。PT和DC的命令基本相同,它們生成類似的報(bào)告,并支持共同的文件格式。PT也能生成DC用于綜合和優(yōu)化時(shí)序的斷言。2024/11/855小芯片綜合實(shí)驗(yàn)1.熟悉設(shè)計(jì),獲得設(shè)計(jì)目標(biāo)和要求,如芯片的時(shí)鐘頻率,外部接口的時(shí)序要求等。2.設(shè)置.synopsys_dc.setup文件3.啟動DC,讀入RTL代碼,并寫出RTL級代碼的ddc文件。
unix%dc_shelldc_shell>source–echo–verboseread_file.tcl4.設(shè)置約束。
dc_shell>source–echo–verboseconstraints.tcl5.綜合,優(yōu)化
dc_shell>compile_ultra-no_autogroup6.查看報(bào)告
dc_shell>report_constraint-all_violarors7.保存文件
dc_shell>write–formatddc–hierarchy–outputRecordchiptop.ddc2024/11/856
1.熟悉設(shè)計(jì),獲得設(shè)計(jì)目的和要求。 輸入信號:在小芯片中,輸入時(shí)鐘clk_128,時(shí)鐘頻率為128KHz。Read_ADPCM為輸入控制信號,采樣速率為8K,類似于一個(gè)頻率為8K的時(shí)鐘,且占空比為50%。另外就是8位的數(shù)據(jù)輸入信號,reset信號。在這里還有inout信號CMD,DATA0,但不用作輸入,只用作輸出。 輸出信號:輸出SD卡輸入時(shí)鐘信號CLK,這里輸出的CLK配置成clk_128的兩分頻,即64K,和輸出數(shù)據(jù)DATA0信號,輸出CMD信號。2024/11/8572.設(shè)置.synopsys_dc.setup文件選擇要使用的工藝文件,這里采用SMIC的0.18um工藝,SMIC提供了slow.db,fast.db和typical.db三種標(biāo)準(zhǔn)單元的綜合庫,我們選用slow和fast兩種庫,其中slow用于最壞情況分析,fast用于最佳情況分析。芯片中的sram是IP核,提供了包含時(shí)序信息的綜合庫用于邏輯綜合,它也有最壞情況和最佳情況之分,另外用到的綜合庫還有標(biāo)準(zhǔn)的IO單元的綜合庫。具體設(shè)置可參見示例文件。3.啟動DC,讀入RTL代碼,并寫出RTL級代碼的ddc文件。
在unix的終端中鍵入dc_shell,即可啟動DC,這時(shí)讀入代碼文件,可以一個(gè)一個(gè)的讀入,也可以寫成TCL語言的文本,用source命令在dc_shell中讀入。使用命令analyze和elaborate來讀入設(shè)計(jì)。讀入設(shè)計(jì)后用check_desig
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 對數(shù)函數(shù)及性質(zhì)課件
- 2024年版道路橋梁建設(shè)項(xiàng)目招標(biāo)合同版B版
- 2024年智能交通管理系統(tǒng)開發(fā)與應(yīng)用協(xié)議
- 2024年土地置換與鄉(xiāng)村旅游資源開發(fā)合同3篇
- 五年級上冊第五單元綜合性學(xué)習(xí)課件
- 2025年柳州貨運(yùn)從業(yè)資格證怎么考試
- 2025年廣州貨運(yùn)從業(yè)資格證模擬考試試題
- 2025年鶴崗貨運(yùn)從業(yè)資格證模擬考
- 2025年陜西從業(yè)資格貨運(yùn)資格考試題庫及答案
- 2025年南寧年貨運(yùn)資格證考試題
- 《地震產(chǎn)生探究》(教案) 小學(xué)科學(xué)校本課程
- 2024年河南省高考對口升學(xué)語文英語試題
- 《第2課時(shí) 光合作用與能量轉(zhuǎn)化》參考課件1
- 2023年江蘇常州中考滿分作文《方寸之間天地大》4
- 2024年初三數(shù)學(xué)競賽考試試題
- 房地產(chǎn)營銷工作排期【倒排計(jì)劃表】
- 某大學(xué)中西醫(yī)臨床(專升本)學(xué)士學(xué)位考試復(fù)習(xí)題
- CMOS數(shù)字集成電路智慧樹知到期末考試答案章節(jié)答案2024年寧波大學(xué)
- QB∕T 4728-2014 封閉式魚線輪
- 考前減壓班會課件
- 福建省三明市三元區(qū)2022-2023學(xué)年八年級上學(xué)期期末物理試卷(滬科版)
評論
0/150
提交評論