數(shù)字電子技術(shù)lhc第2套測試題_第1頁
數(shù)字電子技術(shù)lhc第2套測試題_第2頁
數(shù)字電子技術(shù)lhc第2套測試題_第3頁
數(shù)字電子技術(shù)lhc第2套測試題_第4頁
數(shù)字電子技術(shù)lhc第2套測試題_第5頁
已閱讀5頁,還剩2頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領

文檔簡介

1、1-2所示,他們之間的邏輯關(guān)系是B . F3=F 1+F2D . F2=F 1+F3C弋B 000111I10011111F2B 00011110卞111111F3一、(18分)選擇填空題1. 用卡諾圖法化簡函數(shù)F(ABCD)m(0,2,3,4,6,11,12)+ d (8,9,10,13,14,15)得最簡與-或式。A. F =B +BCb. F = A + D + BCC. F =D+BcD.F=CD+B+A2.邏輯函數(shù)FF2、F3的卡諾圖如圖A . F3=F1?F2C. F2=F1?F3圖1-23.八選一數(shù)據(jù)選擇器 74151組成的電路如圖1-3所示,則輸出函數(shù)為(L =Ba CA CB

2、L =BA CA CB1dwFD 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 S2S274HC151S0EYC B AL圖1-34.圖1-4所示電路中,能完成nQn+1=Q 邏輯功能的電路是(Heiuj汽 CC1C10 = IKKBA C圖1-45. D/A轉(zhuǎn)換電路如圖1-5所示。電路的輸出電壓 于()A. 4.5VB. -4.5VU0等C. 4.25VD. -8.25VA. L =BA CA CBBC. L =BA CA CBD0Vdd圖1-56.用 1KX4 位的 DRAM(設計4KX8位的存儲器的系統(tǒng)需要的芯片數(shù)和地址線的根數(shù)是A.C.16片,10根8片,12根B. 8D

3、. 16片,10根片,12根7.某邏輯門的輸入端 A、B和輸出端F的波形圖1-7所示,F(xiàn)與A、B的邏輯關(guān)系是:A.與非; B. 同或; C. 異或; D. 或。ABF圖1-70)試對應圖d所示輸入波形,分別畫出輸出二、(12分)邏輯電路如圖 2 a、b、c所示。 端L1、L2和L3的波形。(設觸發(fā)器的初態(tài)為ABC(a)L1L2CBA(b)C(c )AB(d)圖2三、(12分)發(fā)由全加器 FA、2-4線譯碼器和門電路組成的邏輯電路如圖 在圖b中填寫輸出邏輯函數(shù) L的卡諾圖(不用化簡)。3 a所示。試Si1 C-LE丫00Y1aAo丫2fir-A1Y丫39Ci(a)dcFACI CO&(b)實現(xiàn)以

4、下邏輯功能:X1X0XjXo =00四、(12分)用最少的與非門設計一個組合邏輯電路,時 Y=AB , X1X0=01 時 丫 =a + B ; X1X0=10 時 丫 = A B ; 為任意態(tài)。1.在圖4中填寫邏輯函數(shù) Y的卡諾圖2. 寫出邏輯表達式3. 畫出邏輯電路Xo五、(15分)分析如圖5所示時序邏輯電路。(設觸發(fā)器的初態(tài)均為 0)1 寫出各觸發(fā)器的時鐘方程、驅(qū)動方程、狀態(tài)方程;2 畫出完整的狀態(tài)圖,判斷電路是否具能自啟動;3 .畫出在CP作用下的Q0、Q1及Q3的波形。QoCP1Q1Q2CP 一圖5六、(15分)試用正邊沿 D觸發(fā)器設計一個同步時序電路,其狀態(tài)轉(zhuǎn)換圖如圖6所示。1.列出狀態(tài)表;2 寫出各觸發(fā)器的激勵方程和輸出方程;3說明電路功能。圖6七、(16分)由555定時器、3-8線譯碼器74HC138和4位二進制加法器 74HC161組成的時序信號產(chǎn)生電路如圖 7所示。1. 試問555定時器組成的是什么功能電路?計算Voi輸出信號的周期;2. 試問74LVC161組成什么功能電路?列出其狀態(tài)表;3. 畫出圖中Voi、Q3、Q2、Qi、Qo及L的波形。I2丫0 丫1 丫2 丫3 丫4 丫5 丫6 丫774HC138+5VR1k755561*0.01

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論