版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、電子技術(shù)課程設(shè)計(jì)報(bào)告題目 溫度測(cè)量與控制電路學(xué)院(部) 電子與控制工程學(xué)院 專(zhuān) 業(yè)電子科學(xué)與技術(shù)班級(jí)學(xué)生姓名 郭鵬學(xué) 號(hào) 13指導(dǎo)教師(簽字)前言隨著數(shù)字時(shí)代的到來(lái), 人們對(duì)于溫度的測(cè)量與控制的要求越來(lái)越高, 用傳統(tǒng)的水銀或酒 精溫度計(jì)來(lái)測(cè)量溫度 ,不僅測(cè)量時(shí)間長(zhǎng)、 讀數(shù)不方便、 精度不夠高而且功能單一 , 已經(jīng)不能滿 足人們?cè)跀?shù)字化時(shí)代的要求。 于是我們提出, 測(cè)溫電路利用溫度傳感器監(jiān)測(cè)外界溫度的變化 通過(guò)放大器將溫度傳感器接收到的信號(hào)進(jìn)行放大,放大到比較有利于我們測(cè)量的溫度范圍 , 然后利用 A/D轉(zhuǎn)換器實(shí)現(xiàn)模擬信號(hào)到數(shù)字信號(hào)的轉(zhuǎn)換,最后通過(guò)編程讓 FPGA實(shí)現(xiàn) 8位二進(jìn)制數(shù)與 BCD碼之
2、間的轉(zhuǎn)化 , 實(shí)現(xiàn)溫度的顯示;并利用比較器來(lái)實(shí)現(xiàn)對(duì)放大電壓信號(hào)的控制,從 而實(shí)現(xiàn)對(duì)溫度的控制;再者還加載了報(bào)警裝置,使它的功能更加完善,使用更加方便。本設(shè)計(jì)是采用了溫度的測(cè)量、信號(hào)放大、 A/D 轉(zhuǎn)換、溫度的顯示、溫度的控制、報(bào)警裝置六部分來(lái)具體實(shí)現(xiàn)上述目的。目錄摘要與設(shè)計(jì)要求.4第一章:系統(tǒng)概述.5第二章: 單元電路設(shè)計(jì)與分析 51) 方案選擇 52) 設(shè)計(jì)原理與參考電路.61 放大電路.62 低通濾波電路.73 溫度控制電路.84 報(bào)警電路.95 A/D 轉(zhuǎn)換器.106 譯碼電路 .11 第三章:系統(tǒng)綜述、總體電路圖 14第四章:結(jié)束語(yǔ) .15 參考文獻(xiàn) .15 元器件明細(xì)表 .15 收獲
3、與體會(huì),存在的問(wèn)題等 .16溫度測(cè)量與控制電路摘要:利用傳感器對(duì)于外界的溫度信號(hào)進(jìn)行收集, 收集到的信號(hào)通過(guò)集成運(yùn)算放大器進(jìn)行信號(hào) 放大,放大后的信號(hào)經(jīng)過(guò) A/D 轉(zhuǎn)換器實(shí)現(xiàn)模擬信號(hào)與數(shù)字信號(hào)間的轉(zhuǎn)換,再通過(guò) FPGA編程 所實(shí)現(xiàn)的功能將轉(zhuǎn)換后的數(shù)字信號(hào)在數(shù)碼管上顯示出來(lái), 實(shí)現(xiàn)溫度測(cè)量過(guò)程。 放大的信號(hào)可 以與所預(yù)定的溫度范圍進(jìn)行比較, 如果超出預(yù)定范圍, 則自動(dòng)實(shí)現(xiàn)聲光報(bào)警功能, 實(shí)現(xiàn)溫度 控制過(guò)程。關(guān)鍵字:溫度測(cè)量 溫度控制 信號(hào)放大 A/D 轉(zhuǎn)換 聲光報(bào)警設(shè)計(jì)要求:1. 測(cè)量溫度范圍為 200C 1650C,精度 0.5 0C;2. 被測(cè)量溫度與控制溫度均可數(shù)字顯示;3. 控制溫度連續(xù)
4、可調(diào);4. 溫度超過(guò)設(shè)定值時(shí),產(chǎn)生聲光報(bào)警。第一章 系統(tǒng)概述傳感器兩端的電壓信號(hào)變化不大, 經(jīng)過(guò)放大電路和濾波電路之后就會(huì)形成一個(gè)比較大的 模擬量。這個(gè)模擬量有兩個(gè)電路使用,一個(gè)是AD轉(zhuǎn)換器,另一個(gè)就是控制溫度電路。當(dāng)被測(cè)溫度超過(guò)控制溫度時(shí)控制溫度電路就會(huì)產(chǎn)生報(bào)警信號(hào), 驅(qū)動(dòng)報(bào)警電路達(dá)到報(bào)警要求。 當(dāng)然, 控制電壓分別要接另外兩個(gè) AD轉(zhuǎn)換器和譯碼顯示電路,達(dá)到數(shù)碼顯示的要求。整個(gè)系統(tǒng)的設(shè)計(jì)思路是從 A/D 轉(zhuǎn)換器出發(fā)的, 由于 A/D 轉(zhuǎn)換器的模擬電壓輸入量需要一 個(gè)比較大的值, 所以傳感器的信號(hào)要經(jīng)過(guò)放大電路, 由于放大電路本身以及傳感器外界的干 擾因素, 在放大電路之后要加一級(jí)低通濾波器
5、。 經(jīng)過(guò)濾波之后的信號(hào)就是我們需要的模擬信 號(hào)。這個(gè)模擬信號(hào)分別接兩個(gè)輸入端, 一個(gè)是 A/D 轉(zhuǎn)換器的輸入端, 另一個(gè)是控制溫度輸入 端。A/D 轉(zhuǎn)換器的數(shù)字輸出接譯碼顯示電路 。系統(tǒng)的硬件流程圖如下圖所示:第二章 單元電路設(shè)計(jì)與分析1 )、方案選擇放大電路, 低通濾波電路, 報(bào)警電路以及控制電路相對(duì)比較容易實(shí)現(xiàn), 在數(shù)據(jù)采集的過(guò) 程中, 采集到得八位二進(jìn)制數(shù)如何轉(zhuǎn)換成十二位的BCD碼,實(shí)現(xiàn)起來(lái)有些問(wèn)題, 有兩種方案可供選擇: 1 采用組合邏輯電路,用 74系列的加法器 283 先形成 BCD的一位加法器,再通 過(guò)級(jí)聯(lián)的方式譯碼。 2 用 FPGA芯片進(jìn)行編程, 輸入為二進(jìn)制數(shù) (并不一定是
6、二進(jìn)制自然碼)輸出為 12 位 BCD碼。經(jīng)過(guò)比較,第二種方案可行,并且簡(jiǎn)單,方便易懂。第一種方案很難實(shí)現(xiàn),并且某一 個(gè)溫度所對(duì)應(yīng)的 AD轉(zhuǎn)換器的輸出量,并不一定是這個(gè)溫度對(duì)應(yīng)的二進(jìn)制數(shù)自然碼。所以想 要實(shí)現(xiàn)第一種方案,還要另外在AD轉(zhuǎn)換器的輸出端加一個(gè)八位的加法器,這樣會(huì)使電路更加復(fù)雜。而第二種方案用 VHDL編寫(xiě)程序,簡(jiǎn)單易懂,并且器件的執(zhí)行速度快,還略去了組 合邏輯電路的復(fù)雜性。數(shù)據(jù)轉(zhuǎn)換器的位數(shù)也有兩種方案可供選擇: 1 采用八位數(shù)據(jù)轉(zhuǎn)換器。因?yàn)闇y(cè)量電路的 要求是:量程為 20到 165;精確到,這樣算下來(lái)需要至少產(chǎn)生290個(gè)二進(jìn)制數(shù)來(lái)表示溫度,也就是說(shuō) AD轉(zhuǎn)換器至少要 9 位才可以。
7、 持這種意見(jiàn)的同學(xué)認(rèn)為, 八位 AD轉(zhuǎn)換器雖然少了一 位,但是可以用比較器額外增加一位。 2 采用 16 位的 AD轉(zhuǎn)換器。采用 16 位 AD轉(zhuǎn)換器,可 以省去數(shù)?;旌想娐返脑O(shè)計(jì),這樣電路就會(huì)更加簡(jiǎn)單。所以決定用 16位 AD轉(zhuǎn)換器,但是只取 9位。并且只有八位譯碼,另外一位控制小數(shù) 點(diǎn)后面的 . 這樣即達(dá)到了設(shè)計(jì)要求,而且還簡(jiǎn)化了譯碼的程序。 2):設(shè)計(jì)原理與參考電路1、放大電路:采用三級(jí)集成運(yùn)算放大電路,集成運(yùn)算放大器型號(hào)為L(zhǎng)M324,LM324 系列器件為差動(dòng)輸入的四運(yùn)算放大器。與單電源應(yīng)用場(chǎng)合的標(biāo)準(zhǔn)運(yùn)算放大器相 比,它們有一些顯著優(yōu)點(diǎn)。該四放大器可以工作在低到伏或者高到 32 伏的電
8、源下, 靜態(tài)電流為 MC1741 的靜態(tài)電流的五分之一。共模輸入范圍包括負(fù)電源,因而消除了 在許多應(yīng)用場(chǎng)合中采用外部偏置元件的必要性。在該放大電路中,我們將傳感器收集 到的信號(hào)定義為 VEE,因?yàn)樵撔盘?hào)過(guò)于微弱,所以需要經(jīng)過(guò)集成運(yùn)算放大器進(jìn)行信號(hào) 放大,放大到便于我們測(cè)量的電壓值,該電路采用三級(jí)集成運(yùn)算放大器,放大倍數(shù)約 為 1248 倍,經(jīng)過(guò)實(shí)際測(cè)量得到了放大后的電壓值如下圖所示:?jiǎn)挝?mV單位 V123放大電路圖如上圖所示:在該電路圖中, 放大電路的 2 號(hào)引線為接收傳感器信號(hào)的輸入端 (我們將 VEE定義為傳 感器的輸入信號(hào)) ,第三級(jí)放大器的 9 號(hào)引線為放大信號(hào)的輸出端,它接的是濾波
9、電路。2、低通濾波器如圖所示:因?yàn)閭鞲衅鞯妮斎牒头糯笃鞅旧聿豢杀苊獾臅?huì)帶有一些高次諧波或高頻干擾信號(hào), 這 會(huì)對(duì) A/D 轉(zhuǎn)換器的數(shù)據(jù)采集造成干擾,為了削弱高次諧波或高頻干擾的場(chǎng)合,提高測(cè) 量的精度, 所以要加一級(jí)或者多級(jí)低通濾波器( 仿真中為一級(jí) ) 。具體電路如上圖所示。由于同向比例放大電路的電壓增益為 Auf=A0+R2/R1, 只要將 R1 的電阻值設(shè)置為遠(yuǎn)大VCC=10V時(shí),通過(guò)放大器后的輸于 R2 的電阻值,則電壓增益可忽略不計(jì),例如:當(dāng)在該電路中, 電源 VCCR3 另一端接的是譯碼出電壓為, 電壓增益對(duì)于整體電路的電壓輸出并無(wú)決定性影響, 實(shí)際上是從上一級(jí)放大器接收到得放大信號(hào)
10、,而放大器接電阻 電路。3、溫度控制電路:控制溫度電路主要由兩個(gè)電壓比較器組成,第一個(gè)電壓比較器上方) 控制的是溫度下限,第二個(gè)控制的是溫度上限, 當(dāng)溫度所對(duì)應(yīng)的電壓超過(guò)量程時(shí), 兩個(gè)電壓比較器會(huì)有一個(gè)輸出高電平,比較器的電源電壓控制的適當(dāng),這個(gè)高電平就可以和門(mén)電路(或門(mén))連接。仿 真時(shí),電源電壓為 5V,比較器輸出電壓為 4V??刂茰囟入娐返妮斎攵私与妷焊S器,這個(gè) 電壓跟隨器再和濾波電路的輸出端連接。 控制溫度電路的輸出端 (或門(mén)輸出端) 直接連接報(bào) 警電路。溫度控制電路圖如下圖所示:4、控制報(bào)警電路:在設(shè)計(jì)報(bào)警電路時(shí), 我們采用了 555 多諧振蕩器來(lái)實(shí)現(xiàn)報(bào)警功能, 利用一個(gè)發(fā)光二極管來(lái)
11、實(shí) 現(xiàn)光報(bào)警,蜂鳴器來(lái)實(shí)現(xiàn)聲音報(bào)警,具體電路圖如下圖所示:在該電路圖中 18 接的是控制電路兩個(gè)比較器出來(lái)的信號(hào),當(dāng)信號(hào)大于VDD2的電壓預(yù)定值,或者小于 VDD1的電壓預(yù)定值時(shí),該 555 報(bào)警電路會(huì)自動(dòng)報(bào)警,發(fā)光二極管產(chǎn)生光報(bào)警 信號(hào),蜂鳴器產(chǎn)生聲音報(bào)警信號(hào)。5、A/D 轉(zhuǎn)換器:采用十六位的 A/D轉(zhuǎn)換器,但是由于課程設(shè)計(jì)中要求的精度為,量程為20到 165,也就是說(shuō)小數(shù)點(diǎn)后面的數(shù)字不是 0 就是 5,所以在譯碼時(shí)只需要將高 8 位譯成 BCD碼,用第 7 位 來(lái)控制小數(shù),當(dāng)?shù)?7 位為高電平時(shí),小數(shù)部分為,當(dāng)?shù)?7 位為低電平時(shí),小數(shù)部分為 0. 而 高位的 8 位二進(jìn)制數(shù)并不一定是二進(jìn)
12、制自然碼,這個(gè)問(wèn)題會(huì)在譯碼電路中得到解決。AD轉(zhuǎn)換器的其他管腳懸空即可。AD轉(zhuǎn)換器的模擬量輸入端在與放大電路連接之前要加電壓跟隨器,這樣可以防止壓降的變化,減小誤差。假設(shè)當(dāng)溫度為 20 攝氏度時(shí),傳感器的電壓為,當(dāng)溫度為 165攝氏度時(shí), 假設(shè)溫度為 (如果溫度和電壓值不能對(duì)應(yīng),可以改進(jìn)橋式電路,或者加一個(gè)上拉電阻, 使傳 感器的電壓值增大)AD 轉(zhuǎn)換器如圖所示:在該電路中, Vin 接的是低通濾波器傳過(guò)來(lái)的放大信號(hào),D7到 D15 接的是譯碼電路,即 PLD芯片, Vref+ 和 Vref- 接的是一個(gè)電壓范圍,即在該范圍內(nèi)工作,SOC為輸入的脈沖信號(hào)。6、譯碼電路:由于經(jīng)過(guò) A/D 轉(zhuǎn)換器
13、輸出的為二進(jìn)制數(shù), 這些二進(jìn)制數(shù)在數(shù)碼管上并不能完全顯示, 為了能 很好的再數(shù)碼管上顯示溫度, 本次的課程設(shè)計(jì)采用的譯碼電路為 FPGA芯片,利用 VHDL編程 來(lái)實(shí)現(xiàn)譯碼功能。VHDL源程序如下:ibrary ieee;use BCD isport(reset: in std_logic;OE: in std_logic;Vin:in std_logic_vector(7 downto 0);Vout1: out std_logic_vector(3 downto 0);Vout2: out std_logic_vector(3 downto 0);Vout3: out std_logic_
14、vector(3 downto 0);end entity;architecture behave of BCD issignal temp_q: integer range 0 to 199;signal temp_q0,temp_q1,temp_q2,temp_q3:integer range 0 to 99;FUNCTION vector_to_int(input :in std_logic_vector(7 downto 0)return integer isVARIABLE q,p:integer :=0;beginfor i in 7 downto 0 loop p:=0;if(i
15、nput(i)=1) thenp:=2*(i-0);elsenull;end if;q:=q+p;end loop;return (q);end vector_to_int;beginprocess(reset,OE)beginif(OE=0)thenVout1=0000;Vout2=0000;Vout3=0000;elsif(OE=1 and reset=1)thenVout1=0000;Vout2=0000;Vout3=0000;else temp_q=100 )then temp_q3=1; temp_q0=temp_q-100; temp_q1=temp_q0 REM 10; temp
16、_q2=temp_q0-temp_q1;else if(temp_q0)thentemp_q3=0;temp_q0=temp_q;temp_q1=temp_q0 REM 10;temp_q2Vout1Vout1Vout1Vout1Vout1Vout1Vout1Vout1Vout1Vout1Vout1Vout2Vout2Vout2Vout2Vout2Vout2Vout2Vout2Vout2Vout2Vout2Vout3Vout3Vout3=0000;end case;end process;end behave;分別定義 FPGA的清零端 reset ,使能端 OE,八個(gè)輸入 Vin0 到 Vi
17、n7 和三個(gè)輸出 Vout3( 3 downto0)Vout2 (3 downto 0 )Vout1(3 downto 0 )。設(shè)計(jì)中之所以譯碼電路只連接AD轉(zhuǎn)換器的 D15到 D8,是因?yàn)檫@八位二進(jìn)制數(shù)已經(jīng)足夠表示20 到 165 的十進(jìn)制數(shù), AD轉(zhuǎn)換器的 D7管腳直接接小數(shù)部分的數(shù)碼管, 因?yàn)樵O(shè)計(jì)要求是顯示 精度為,所以小數(shù)部分不是 0 就是 5,這樣用一位二進(jìn)制數(shù)控制即可。電路圖如圖所示:第三章 系統(tǒng)綜述、總體電路圖為了實(shí)現(xiàn)對(duì)于溫度的測(cè)量與控制,我們采用放大電路、低通濾波電路、A/D 轉(zhuǎn)換器、譯碼電路、控制電路和報(bào)警電路六部分來(lái)實(shí)現(xiàn)這個(gè)功能, 利用溫度傳感器接收到得信號(hào)非常的微小, 所
18、以要經(jīng)過(guò)一個(gè)放大電路對(duì)接受的信號(hào)進(jìn)行放大, 放大到方便于我們測(cè)量的范圍內(nèi)即可, 放 除,得到一個(gè)不參雜志的信號(hào),再經(jīng)過(guò)一級(jí)電壓跟隨器,輸入到電壓比較電路中。電壓大后的電壓信號(hào)經(jīng)過(guò)一級(jí)低通濾波電路進(jìn)行濾波,將信號(hào)中的高次諧波或高頻干擾進(jìn)行消比較電路有兩個(gè)電壓比較器, 一個(gè)電壓比較器控制溫度下限, 放大之后的電壓低于這個(gè)比較 器的電壓時(shí), 就會(huì)產(chǎn)生報(bào)警信號(hào)。 另一個(gè)電壓比較器控制上限電壓, 放大之后的電壓高于這 個(gè)比較器的電壓時(shí),也會(huì)產(chǎn)生一個(gè)報(bào)警信號(hào)。由于每一個(gè)報(bào)警信號(hào)產(chǎn)生,都應(yīng)該聲光報(bào)警, 所以, 兩個(gè)比較器的輸出經(jīng)過(guò)或門(mén), 在連接報(bào)警電路。 報(bào)警電路中的光報(bào)警時(shí)直接由或門(mén)的 輸出來(lái)驅(qū)動(dòng)的。而聲
19、音報(bào)警則是通過(guò)一個(gè)555 多諧振蕩器?;蜷T(mén)的輸出接發(fā)光二極管和555定時(shí)器的 4 號(hào)管腳(高電平) ,當(dāng)或門(mén)的輸出為低電平時(shí),發(fā)光二極管不亮。555 定時(shí)器由于不工作,所以輸出為0;當(dāng)或門(mén)輸出為高電平時(shí),發(fā)光二極管亮。555 多諧振蕩電路開(kāi)始工作, 輸出的為一個(gè)方波, 這個(gè)方波會(huì)驅(qū)動(dòng)蜂鳴器, 蜂鳴器就會(huì)發(fā)生時(shí)有時(shí)無(wú) 得“滴滴” 聲音,產(chǎn)生聲音報(bào)警。 放大后的信號(hào)還要經(jīng)過(guò) A/D 轉(zhuǎn)換器進(jìn)行模擬信號(hào)到數(shù)字信 號(hào)的轉(zhuǎn)換, 放大后的電壓得電壓經(jīng)過(guò)低通濾波, 通過(guò)一級(jí)電壓跟隨器和模數(shù)轉(zhuǎn)換器連接, 完 成信號(hào)的采集功能。由于題目的要求為:量程20到 165攝氏度,精度為,所以模數(shù)轉(zhuǎn)換器的輸出端只需要高位
20、的 9 個(gè)管腳, 其他管腳懸空即可。 這 9 個(gè)管腳中, 最低位可以直接驅(qū)動(dòng) 一個(gè)數(shù)碼管,這個(gè)數(shù)碼管顯示的是小數(shù)部分,因?yàn)橛捎趨⒖茧妷旱闹颠m當(dāng),所以 D7 位,也 就是這 9 個(gè)管腳中的最低位所代表的溫度變化就是攝氏度。 其他的八個(gè)管腳可以看成是一個(gè) 二進(jìn)制數(shù), 當(dāng)然,這個(gè)二進(jìn)制數(shù)不一定是自然碼, 但是可以進(jìn)行加操作,使其對(duì)應(yīng)的二進(jìn)制 數(shù)正好就是對(duì)應(yīng)的溫度值,這樣,在經(jīng)過(guò)PLD的譯碼,就會(huì)形成 3 個(gè)四位的 BCD碼。PLD的程序是先將二進(jìn)制數(shù)轉(zhuǎn)換成 10 進(jìn)制數(shù),然后在將 10 進(jìn)制數(shù)轉(zhuǎn)換成對(duì)應(yīng)的 BCD碼。完 成譯碼功能。 PLD 的 12 端輸出分別對(duì)應(yīng)連接三個(gè)數(shù)碼管,這三個(gè)數(shù)碼管表示的
21、是溫度的整 數(shù)部分??傮w電路圖見(jiàn)附圖。第四章 結(jié)束語(yǔ)在這次課程設(shè)計(jì)中, 我們遇到了很多問(wèn)題, 比如說(shuō)放大電路部分, 經(jīng)過(guò)一級(jí)放大并沒(méi)有得到我們想要得到的倍數(shù), 于是采用了多級(jí)放大。 還有在進(jìn)行譯碼的過(guò)程中, 可供選擇的方法很 多,但是都出現(xiàn)了問(wèn)題, 不是出現(xiàn)錯(cuò)誤就是沒(méi)辦法實(shí)現(xiàn), 類(lèi)似的問(wèn)題還很多,不過(guò)經(jīng)過(guò)小組 討論以及同學(xué)的幫助, 也都解決了, 總體來(lái)說(shuō), 本次課設(shè)雖然沒(méi)有得到自己想要的完美結(jié)果, 但是還算成功,總之兩周的時(shí)間還算沒(méi)白費(fèi),學(xué)會(huì)了很多的新知識(shí)。參考文獻(xiàn)1林濤模擬電子技術(shù)基礎(chǔ)重慶大學(xué)出版社2004 年;2林濤數(shù)字電子技術(shù)基礎(chǔ)清華大學(xué)出版社2006 年 6 月;3姜立東 VHDL語(yǔ)言
22、程序設(shè)計(jì)及應(yīng)用北京郵電大學(xué)出版社2004 年 6 月;4李群芳,肖看單片機(jī)原理、接口及應(yīng)用清華大學(xué)出版社2005 年 3 月;元器件明細(xì)表:序號(hào)名稱(chēng)型號(hào)參數(shù)數(shù)量備注1電阻若干2電容33集成放大器LM324AD8放大濾波比較4AD轉(zhuǎn)換器ADC163模數(shù)轉(zhuǎn)換5PLDEPM7032-PLCC(44)3FPGA6數(shù)碼管 1DCD HEX9整數(shù)顯示7數(shù)碼管 2DCD_HEX_DIG_RED3小數(shù)顯示8555 定時(shí)器LM555CN1多諧振蕩器9發(fā)光二極管5mA110蜂鳴器5kHZ4V111滑動(dòng)變阻器增量 5%212導(dǎo)線若干13電源若干附圖:見(jiàn)最后的附加電路圖鳴謝:感謝樊小紅老師在本次課程設(shè)計(jì)中對(duì)于各部分電
23、路的講解與幫助,感謝各位同學(xué)對(duì)于我在課設(shè)上的支持與幫助。收獲與體會(huì),存在的問(wèn)題等在課程設(shè)計(jì)的初期, 我們都還信誓旦旦的以為這些都很簡(jiǎn)單,并沒(méi)有放太多的心思, 但是當(dāng)做起來(lái)的時(shí)候, 所有的麻煩都來(lái)了, 導(dǎo)致時(shí)間也不夠充裕了,在課程設(shè)計(jì)中,我們遇到了很多的問(wèn)題, 比如說(shuō)我所負(fù)責(zé)的放大電路部分, 剛開(kāi)始只是采用一級(jí)放大, 但是放大的效果并不明顯,而且出現(xiàn)的問(wèn)題也很多,經(jīng)過(guò)討論, 我們又選擇了采用多級(jí)放大電路,用三個(gè)放大 器來(lái)實(shí)現(xiàn)信號(hào)的放大, 終于得到了想要的結(jié)果, 不過(guò)信號(hào)放大后又有問(wèn)題了, 當(dāng)我們定義最初的輸入電壓時(shí),當(dāng)最初的輸入電壓為0,經(jīng)過(guò)三級(jí)放大后,輸出電壓還是有信號(hào),正常情 況下輸出應(yīng)該為 0,或者得到很微小的電壓,這個(gè)問(wèn)題到最后也沒(méi)有解決,好在并無(wú)太大的 影響。 還有在譯碼電路的選擇過(guò)程中, 利用組合邏輯電路設(shè)計(jì)出的電路圖如下圖所示, 其中八位二進(jìn)制數(shù),D7 位表示的是 10 進(jìn)制數(shù)的 128;D6位表示的是 10 進(jìn)制數(shù)的 64;D5位表示的是 10 進(jìn)制數(shù)的 32;D4位表示的是 10 進(jìn)制數(shù)的 16;剩余的四位可以直接通過(guò) 283 加法器譯成最多兩位的 BCD碼(每一位 BCD碼為四位),這個(gè) BCD碼記為 BCD3.這樣可以設(shè)計(jì)出多個(gè)兩位的 BCD碼的加法器。首先實(shí)現(xiàn)的是 D7 位和 D6 位相加:當(dāng) D7
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 廣東外語(yǔ)外貿(mào)大學(xué)《微積分》2023-2024學(xué)年第一學(xué)期期末試卷
- 廣東生態(tài)工程職業(yè)學(xué)院《體育科學(xué)研究與方法》2023-2024學(xué)年第一學(xué)期期末試卷
- 廣東嶺南職業(yè)技術(shù)學(xué)院《羽毛球IV》2023-2024學(xué)年第一學(xué)期期末試卷
- 十二月職位心得總結(jié)
- 【紅對(duì)勾】2020-2021學(xué)年高中物理(人教版)必修二6-3-萬(wàn)有引力定律-課后鞏固提高
- 【名師一號(hào)】2022屆高三歷史一輪復(fù)習(xí)調(diào)研試題:選修一-歷史上重大改革回眸-2
- 【-學(xué)案導(dǎo)學(xué)設(shè)計(jì)】2020-2021學(xué)年高中人教B版數(shù)學(xué)必修四課時(shí)作業(yè):第三章-章末檢測(cè)(B)
- 【金版學(xué)案】2014-2021學(xué)年人教版高中英語(yǔ)必修三練習(xí):Unit-2-第四學(xué)時(shí)
- 安徽省亳州市渦陽(yáng)縣大寺學(xué)校2024-2025學(xué)年第一學(xué)期七年級(jí)期末考試語(yǔ)文試卷(含答案)
- 初一美術(shù)教師工作總結(jié):尋找創(chuàng)意和啟發(fā)學(xué)生的天賦
- 2024-2030年中國(guó)硅肥行業(yè)規(guī)模分析及投資前景研究報(bào)告
- 電網(wǎng)行業(yè)工作匯報(bào)模板22
- 2024年度跨境電商平臺(tái)承包經(jīng)營(yíng)合同3篇
- 2025年上半年人民日?qǐng)?bào)社招聘應(yīng)屆高校畢業(yè)生85人筆試重點(diǎn)基礎(chǔ)提升(共500題)附帶答案詳解
- 神經(jīng)外科基礎(chǔ)護(hù)理課件
- 山東省臨沂市2023-2024學(xué)年高二上學(xué)期期末考試生物試題 含答案
- 辦公樓電氣改造施工方案
- 2024中國(guó)儲(chǔ)備糧管理集團(tuán)限公司招聘700人易考易錯(cuò)模擬試題(共500題)試卷后附參考答案
- 內(nèi)蒙古赤峰市2023-2024學(xué)年高一上學(xué)期期末考試物理試題(含答案)
- 浙江省衢州市2023-2024學(xué)年高一上學(xué)期期末英語(yǔ)試題(含答案)3
- 建筑工程機(jī)械設(shè)備安全技術(shù)操作規(guī)程
評(píng)論
0/150
提交評(píng)論