畢業(yè)設計畢業(yè)論文基于MATLAB的FIR數字濾波器設計_第1頁
畢業(yè)設計畢業(yè)論文基于MATLAB的FIR數字濾波器設計_第2頁
畢業(yè)設計畢業(yè)論文基于MATLAB的FIR數字濾波器設計_第3頁
畢業(yè)設計畢業(yè)論文基于MATLAB的FIR數字濾波器設計_第4頁
畢業(yè)設計畢業(yè)論文基于MATLAB的FIR數字濾波器設計_第5頁
已閱讀5頁,還剩30頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、本科生畢業(yè)設計 基基于于matlab 的的fir 數數字字濾濾波波器器設設計計 獨獨 創(chuàng)創(chuàng) 性性 聲聲 明明 本人鄭重聲明:所呈交的畢業(yè)論文(設計)是本人在指導老師指導下取得的研究成 果。除了文中特別加以注釋和致謝的地方外,論文(設計)中不包含其他人已經發(fā)表或 撰寫的研究成果。與本研究成果相關的所有人所做出的任何貢獻均已在論文(設計)中 作了明確的說明并表示了謝意。 簽名: 年 月 日 授授 權權 聲聲 明明 本人完全了解許昌學院有關保留、使用本科生畢業(yè)論文(設計)的規(guī)定,即:有權 保留并向國家有關部門或機構送交畢業(yè)論文(設計)的復印件和磁盤,允許畢業(yè)論文 (設計)被查閱和借閱。本人授權許昌學

2、院可以將畢業(yè)論文(設計)的全部或部分內容 編入有關數據庫進行檢索,可以采用影印、縮印或掃描等復制手段保存、匯編論文(設 計) 。 本人論文(設計)中有原創(chuàng)性數據需要保密的部分為(如沒有,請?zhí)顚憽盁o”): 簽名: 年月日 指導教師簽名: 年月日 摘 要 窗函數是設計 fir 數字濾波器的重要方法。本論文介紹了利用窗函數設計低通、高 通、帶通和帶阻四種 fir 數字濾波器。結合實例,利用 matlab 軟件進行實現和仿真, 然后得到這四種 fir 數字濾波器的單位脈沖響應和幅度響應,并得到正確的運行結果。 論文中也利用 matlab 信號處理工具箱中的 sptool 工具設計出具有去噪濾波功能的有

3、 限脈沖響應濾波器。利用 matlab 軟件設計 fir 數字濾波器,直觀簡便,極大地減輕了 工作量,達到理想的應用目的。 關鍵詞:matlab;fir 數字濾波器;窗函數;sptool abstract the windows function is an important method of designing the finite impulse response digital filter. this paper represents the design of lowpass, highpass, bandpass and bandstop which belong to the

4、 finite impulse response digital filter (fir) by the windows function. this paper gives examples to achieve and simulate them with the matlab software. then it gives the unit impulse response and scope response of the four sorts of fir digital filter, and it proves the results are right. this paper

5、also uses sptool tool of matlab signal processing toolbox to design the denoising filtering finite impulse response filter. it is intuitive and easy to design fir digital filter by using matlab software, which greatly reduces the workload and achieves the ideal application purpose. keywords: matlab;

6、 fir digital filter; windows function; sptool 目 錄 1 緒論緒論.1 2 數字濾波器原理數字濾波器原理.2 2.1 數字濾波器的概念數字濾波器的概念.2 2.2 數字濾波器的分類數字濾波器的分類.2 2.3 數字濾波器的主要技術指標數字濾波器的主要技術指標.3 2.3.1 特征頻率特征頻率.3 2.3.2 增益與衰耗增益與衰耗.3 2.3.3 阻尼系數與品質因數阻尼系數與品質因數.3 2.3.4 靈敏度靈敏度.4 2.3.5 群時延函數群時延函數.4 2.4 數字濾波器的應用現狀與發(fā)展趨勢數字濾波器的應用現狀與發(fā)展趨勢.4 3 fir 數數字字濾

7、波器的概述濾波器的概述 .7 3.1 fir 與與 iir 數字濾波器的比較數字濾波器的比較 .7 3.2 設計設計 fir 濾波器的窗函數法濾波器的窗函數法 .7 3.2.1 典型窗函數典型窗函數.7 3.2.2 窗函數截斷的定量分析窗函數截斷的定量分析.8 3.2.3 幾種窗函數的時域與頻域波形幾種窗函數的時域與頻域波形.8 3.3 利用信號處理工具箱利用信號處理工具箱 sptool 設計法設計法.11 3.3.1 信號的創(chuàng)建與導入信號的創(chuàng)建與導入.11 3.3.2 fir 濾波器設計濾波器設計 .12 3.3.3 信號濾波信號濾波.13 3.3.4 時域信號比較時域信號比較.13 3.3

8、.5 信號頻譜比較信號頻譜比較.14 4 窗函數法設計窗函數法設計 fir 數字濾波器數字濾波器 .16 4.1 fir 數字低通濾波器設計數字低通濾波器設計 .16 4.2 fir 數字高通濾波器設計數字高通濾波器設計 .17 4.2.1 型數字高通濾波器型數字高通濾波器.17 4.2.2 型數字高通濾波器型數字高通濾波器.18 4.3 fir 數字帶通濾波器設計數字帶通濾波器設計 .19 4.4 fir 數字帶阻濾波器設計數字帶阻濾波器設計 .20 5 總總 結結.22 參考文獻參考文獻.23 附附 錄錄.24 致致 謝謝.30 1 緒論 在數字信號處理中,數字濾波器占有極其重要的地位。數

9、字濾波器從實現的網絡結 構或者從單位脈沖響應分類,可以分成無限脈沖響應(iir)濾波器和有限脈沖響應(flr)濾 波器。目前,對數字濾波器的設計方法很多,常用的設計方法有窗函數設計法、頻率采 樣法和切比雪夫等波紋逼近法。 與模擬濾波器類似,數字濾波器也是一種選頻器件,它對有用信號的頻率分量的衰 減很小,使之比較順利地通過,而對噪聲等干擾信號的頻率分量給予較大幅度衰減,盡 可能阻止它們通過。相比于模擬濾波器,數字濾波器穩(wěn)定性高、精度高、靈活性強。 隨著信息與數字技術的發(fā)展,數字信號處理已成為當今極其重要的學科與技術領域 之一。它在通信、語音、圖像、自動控制、雷達、軍事、航空航天和醫(yī)療等眾多領域得

10、 到了廣泛的應用。在數字信號處理的基本方法中,通常會涉及到變換、濾波、頻譜分析、 調制解調和編碼分析等處理。其中,濾波是應用非常廣泛的一個環(huán)節(jié),數字濾波器的理 論與相關設計也一直都是人們研究的重點之一。 目前數字濾波器的設計有許多現成的高級語言設計程序,但它們的設計效率較低, 不具有可視圖形,不便于修改參數等缺點,而matlab語言正好能彌補以上缺點。本文 就如何使用matlab語言來設計和實現fir數字濾波器進行了探究,并加以仿真。 matlab 是一款功能強大、易于使用的高效數值計算和可視化軟件,它為進行算法 開發(fā)、數據計算、信號分析與可視化提供了交互式應用開發(fā)環(huán)境,主要包括基本數學計 算

11、、編程環(huán)境(m 語言) 、數據可視化、guide 等。并附加了大量支持建模、分析、計 算應用的工具箱來擴展 matlab 基本環(huán)境,用于解決特定領域的工程問題。matlab 中進行數字濾波器的設計,簡化為函數的調用,極大方便了數字濾波器的設計。 matlab 的強大功能由此可見一斑,matlab 將是電子工程師不可不學的一個工具軟件。 2 數字濾波器原理 數字濾波是數字信號分析中最重要的組成部分之一,與模擬濾波相比,它具有精度 和穩(wěn)定性高、系統函數容易改變、靈活性強、便于大規(guī)模集成和可實現多維濾波等優(yōu)點。 在信號的過濾、檢測和參數的估計等方面,經典數字濾波器是使用最廣泛的一種線性系 統。 數字

12、濾波器的作用是利用離散時間系統的特性對輸入信號波形(或頻譜)進行加工 處理,或者說利用數字方法按預定的要求對信號進行變換。 2.1 數字濾波器的概念數字濾波器的概念 若濾波器的輸入、輸出都是離散時間信號,那么該濾波器的單位沖激響應也必( )h n 然是離散的,這種濾波器稱為數字濾波器。當用硬件實現一個 df 時,所需的原件是乘法 器、延時器和相加器;而用 matlab 軟件實現時,它僅僅需要線性卷積程序就可以實現。 眾所周知,模擬濾波器(analog filter,af)只能用硬件來實現,其元件有電阻 r,電感 l,電容 c 及運算放大器等。因此,df 的實現要比 af 容易得多,并且更容易獲

13、得較理 想的濾波性能。 數字濾波器的作用是對輸入信號進行濾波,就如同信號通過系統一樣。對于線性時不 變系統,其時域輸入輸出關系是: (2-1)( )( )( )y nx nh n 2.2 數字濾波器的分類數字濾波器的分類 經典數字濾波器按照單位取樣響應的時域特性可分為無限沖激響應(iir,infinite ( )h n impulse response)系統和有限沖激響應(fir,finite impulse response)系統。 (1)功能分類 經典濾波器:輸入信號中有用的頻率成分和希望濾除的頻率成分各占有不同的頻 帶,通過一個合適的選頻濾波器濾除干擾,得到純凈信號,達到濾波的目的。 現

14、代濾波器:根據隨機信號的一些統計特性,在某種最佳準則下,最大限度地抑 制干擾,同時最大限度地恢復信號,從而達到最佳濾波的目的。 (2)濾波器實現方式分類 iir 數字濾波器:實現結構通常有直接型、級聯型、并聯型三種。 fir 數字濾波器:實現結構通常有直接型、級聯型、線性相位型和頻率取樣型。 2.3 數字濾波器的主要技術指標數字濾波器的主要技術指標 2.3.1 特征頻率特征頻率 濾波器的頻率參數主要有: (1)通帶截頻=/2為通帶與過渡帶的邊界點,在該點信號增益下降到規(guī)定的下限。 p f p (2)阻帶截頻=/2為阻帶與過渡帶的邊界點,在該點信號衰耗下降到規(guī)定的下限。 r f r (3)轉折頻

15、率=/2為信號功率衰減到 1/2(約 3db)時的頻率,在很多情況下,也常 c f c 以 作為通帶或阻帶頻率。 c f (4)當電路沒有損耗時,固有頻率=/2是其諧振頻率,復雜電路往往有多個固有 0 f 0 頻率。 2.3.2 增益與衰耗增益與衰耗 濾波器在通帶內的增益并非常數: (1)對低通濾波器通帶增益一般指=0 時的增益;高通指時的增益;帶通 p k 則指中心頻率處的增益。 (2)對帶阻濾波器,應給出阻帶衰耗,衰耗定義為增益的倒數。 (3)通帶增益變化量指通帶內各點增益的最大變化量,如果以 db 為單位, p k p k 則指增益 db 值的變化量。 2.3.3 阻尼系數與品質因數阻尼

16、系數與品質因數 阻尼系數是表征濾波器對角頻率為信號的阻尼作用,是濾波器中表示能量衰耗 0 的一項指標,它是與傳遞函數的極點實部大小相關的一項系數。它可由傳遞函數的分母 多項式系數求得: = (2-2) 1 20 j j a a 式中,表示傳遞函數的分母多項式系數。 1 j a 2j a 的倒數 q=1/稱為品質因數,是評價帶通與帶阻濾波器頻率選擇特性的一個重要 指標,q 為: q= (2-3) 0 式中的為帶通或帶阻濾波器的 3db 帶寬, 為中心頻率,在很多情況下中心頻率與固 0 有頻率相等。 0 2.3.4 靈敏度靈敏度 濾波電路由許多元件構成,每個元件參數值的變化都會影響濾波器的性能。濾

17、波器 某一性能指標 y 對某一元件參數 x 變化的靈敏度記作,定義為: y x s = (2-4) y x s / / dy y dx x 靈敏度是濾波電路設計中的一個重要參數,可以用來分析元件實際值偏離設計值時, 電路實際性能與設計性能的偏差程度;也可以用來估計在使用過程中元件參數值變化時, 電路性能變化情況。該靈敏度與測量儀器或電路系統靈敏度概念不同,該靈敏度越小, 標志著電路容錯能力越強,穩(wěn)定性也越高。 2.3.5 群時延函數群時延函數 當濾波器幅頻特性滿足設計要求時,為保證輸出信號失真度不超過允許范圍,對其 相頻特性()也應提出一定要求。在濾波器設計中,常用群時延函數()=d()/d

18、評價信號經濾波后相位失真程度。()越接近常數,信號相位失真越小。 2.4 數字濾波器的應用現狀與發(fā)展趨勢數字濾波器的應用現狀與發(fā)展趨勢 在信號處理過程中,所處理的信號往往混有噪聲,從接收到的信號中消除或減弱噪 聲是信號傳輸和處理中十分重要的問題。根據有用信號和噪聲的不同特性,提取有用信 號的過程稱為濾波,實現濾波功能的系數稱為濾波器。在近代電信設備和各類控制系統 中,數字濾波器應用極為廣泛,這里只列舉部分應用最成功的領域。 (1)語音處理 語音處理是最早應用數字濾波器的領域之一,也是最早推動數字信號發(fā)展的領域之 一。該領域主要包括 5 個方面的內容:第一,語音信號分析,即對語音信號的波形特征、

19、 統計特性、模型參數等進行分析計算;第二,語音合成,即利用專用數字硬件或在通用 計算機上運行軟件來產生語音;第三,語音識別,即用專用硬件或計算機識別人講的話 或者識別說話的人;第四,語音增強,即從噪聲或干擾中提取被掩蓋的語音信號;第五, 語音編碼,主要用于語音數據壓縮,目前已經建立了一系列語音編碼的國際標準,大量 用于通信和音頻處理。近年來,這 5 個方面都取得了不少研究成果,并且在市場上已經 出現了一些相關的軟件和硬件產品,例如:盲人閱讀機、啞人語音合成器、口授打印機、 語音應答機、各種會說話的儀器和玩具以及通信和視聽產品大量使用的音頻壓縮編碼技 術。 (2)圖像處理 數字濾波技術已成功地應

20、用于靜止圖像和活動圖像的恢復和增強、數據壓縮、去噪 聲和干擾、圖像識別以及層析 x 射線攝影,還成功地應用于雷達、聲納、超聲波和紅外 信號的可見圖像成像。 (3)通信 在現代通信技術領域內,幾乎沒有一個分支不受到數字濾波技術的影響。信源編碼、 信道編碼、調制、多路復用、數據壓縮以及自適應信道均衡等,都廣泛地采用數字濾波 器,特別是在數字通信、網絡通信、圖像通信、多媒體通信等應用中,離開了數字濾波 器幾乎寸步難行。其中,被認為是通信技術未來發(fā)展方向的軟件無線電技術,更是以數 字濾波技術為基礎。 (4)電視 數字電視取代模擬電視已是必然趨勢。高清晰度電視的普及指日可待,與之配套的 視頻光盤技術已形

21、成具有巨大市場的產業(yè);可視電話和會議電視產品不斷更新換代。視 頻壓縮和音頻壓縮技術所取得的成就和標準化工作,促成了電視領域產業(yè)的蓬勃發(fā)展, 而數字濾波器及相關技術是視頻壓縮和音頻壓縮技術的重要基礎。 (5)雷達 雷達信號占有的頻帶非常寬,數據傳輸速率也非常高,因而壓縮數據量和降低數據 傳輸率是雷達信號數字處理面臨的首要問題。數字器件的出現促成了雷達信號處理技術 的進步。在現代雷達系統中,數字信號處理部分是不可缺少的,因為從信號的產生、濾 波、加工到目標參數的估計和目標成像顯示都離不開數字濾波技術。雷達信號的數字濾 波器是當今十分活躍的研究領域之一。 (6)聲納 聲納信號處理分為兩大類,即有源聲

22、納信號處理和無源聲納信號處理,有源聲納系 統涉及的許多理論和技術與雷達系統相同。例如,他們都要產生和發(fā)射脈沖式探測信號。 他們的信號處理任務都主要是對微弱的目標回波進行檢測和分析,從而達到對目標進行 探測、定位、跟蹤、導航、成像顯示等目的,他們應用到的主要信號處理技術包括濾波、 門限比較、譜估計等。 (7)生物醫(yī)學信號處理 數字濾波器在醫(yī)學中的應用日益廣泛,如對腦電圖和心電圖的分析、層析 x 設限期 攝影的計算機輔助分析。 (8)音樂 數字濾波器為音樂領域開辟了一個新局面,在對信號進行編輯、合成、以及在音樂 中加入交混回響、合聲等特殊效果特殊方面,數字濾波技術都顯示出了強大的威力。數 字濾波器

23、還可用于作曲、錄音和播放,或對舊錄音帶的音質進行恢復等。 3 fir 數字濾波器的概述 3.1 fir 與與 iir 數字濾波器的比較數字濾波器的比較 (1)性能分析: iir 能用較低的階數獲得較好的選擇性,但嚴重的非線性相位難以消除;存儲單元 少、運算快。 fir 用較高的階數才能獲得較好的選擇性,但容易做到嚴格的線性相位;成本和 復雜度高、計算延遲大。 若設計線性相位系統,應優(yōu)先考慮 fir。 若設計非線性相位系統,iir 簡單高效。 (2)結構因素: iir 必須采用遞歸結構,數據的舍入處理即有限字長效應,將引起寄生震蕩,數據 處理系統容易不穩(wěn)定。 fir 主要采用非遞歸結構,運算中不

24、存在不穩(wěn)定的問題,頻率采樣型能采用 fft 算法,大大提高運算速度。 (3)設計工具因素: iir 的設計已經有成熟的設計步驟和參數表,容易寫出的函數閉式。( )h z fir 的設計不容易得到函數閉式,借助計算機的設計可以容易實現。 (4)適用性分析: iir 功能單調,難以擺脫幾個經典濾波器框架的束縛。 fir 直接在頻域內形成理想函數,具有更大的適用性和應用場合。 3.2 設計設計 fir 濾波器的窗函數法濾波器的窗函數法 窗函數法就是設計 fir 數字濾波器的最簡單的方法。它在設計 fir 數字濾波器中有 很重要的作用,正確地選擇窗函數可以提高設計數字濾波器的性能,或者在滿足設計要 求

25、的情況下,減小 fir 數字濾波器的階次。常用的窗函數有以下幾種:矩形窗、三角窗、 漢寧窗、海明窗、布拉克曼窗、切比雪夫窗、巴特里特窗及凱塞窗。 3.2.1 典型窗函數典型窗函數 表 3-1 中過渡帶和阻帶最小衰減是用對應的窗函數設計的 fir 數字濾波器的頻率響應 指標。 表 3-1 6 種窗函數的基本參數 過渡帶寬度 t b 窗函數類型 旁瓣峰值 /db n 近似值精確值 阻帶最小衰減 /db s 矩形窗-134/n1.8/n-21 三角窗-258/n6.1/n-25 漢寧窗-318/n6.2/n-44 哈明窗-418/n6.6/n-53 布萊克曼窗-5712/n11/n-74 凱塞窗-5

26、710/n-80 3.2.2 窗函數截斷的定量分析窗函數截斷的定量分析 對加矩形窗處理后,和原理想低通濾波器的差別有以下兩點:( ) d h n( )h( ) d h (1)在理想特性不連續(xù)點=附近形成過渡帶。過渡帶的寬度,近似等于主瓣 c ( ) n r 寬度,即 4/n。 (2)通帶內增加了波動,最大的峰值在=-2/n處。阻帶內產生了余振,最大的負 c 峰在=+2/n處。 c 截斷所得有限長與理想相比存在誤差,導致頻域內過渡帶加寬、通帶和阻( )h n( ) d h n 帶內都產生波動、阻帶衰減不足,也叫截斷效應。這種效應直接影響濾波器的性能。通 帶內的某些波動影響濾波器通帶中信號通過的均

27、勻性;阻帶內的波動使某些頻率成分在 阻帶內不能充分抑制,可能使最小衰減不滿足技術要求;同時在通帶與阻帶連接處,又 產生了一個過渡帶,一般濾波器都要求過渡帶愈窄愈好。窗函數截取的長度越長,( )h n 吉普斯效應越小。 3.2.3 幾種窗函數的時域與頻域波形幾種窗函數的時域與頻域波形 繪出長度為 64 的矩形窗、三角窗、漢寧窗、哈明窗、布萊克曼窗和凱瑟窗函數的時 域和頻域幅度特性曲線。 matlab 程序如下: clear all;close all;clc; n=64;beita=2.5; w1=boxcar(n);w2=triang(n); w3=hann(n);w4=hamming(n);

28、 w5=blackman(n);w6=kaiser(n,beita); wvtool(w1);wvtool(w2); wvtool(w3);wvtool(w4); wvtool(w5);wvtool(w6); 程序的運行結果如圖 3-1 到 3-6 所示。 102030405060 0 0.2 0.4 0.6 0.8 1 samples amplitude time domain 00.20.40.60.8 -20 -10 0 10 20 30 40 normalized frequency ( rad/sample) magnitude (db) frequency domain 圖 3-1

29、 矩形窗的時域和頻域幅度特性曲線 102030405060 0 0.2 0.4 0.6 0.8 1 samples amplitude time domain 00.20.40.60.8 -150 -100 -50 0 50 normalized frequency ( rad/sample) magnitude (db) frequency domain 圖 3-2 三角窗的時域和頻域幅度特性曲線 102030405060 0 0.2 0.4 0.6 0.8 1 samples amplitude time domain 00.20.40.60.8 -150 -100 -50 0 50 no

30、rmalized frequency ( rad/sample) magnitude (db) frequency domain 圖 3-3 漢寧窗的時域和頻域幅度特性曲線 102030405060 0 0.2 0.4 0.6 0.8 1 samples amplitude time domain 00.20.40.60.8 -100 -80 -60 -40 -20 0 20 40 normalized frequency ( rad/sample) magnitude (db) frequency domain 圖 3-4 哈明窗的時域和頻域幅度特性曲線 102030405060 0 0.2

31、 0.4 0.6 0.8 1 samples amplitude time domain 00.20.40.60.8 -150 -100 -50 0 50 normalized frequency ( rad/sample) magnitude (db) frequency domain 圖 3-5 布萊克曼窗的時域和頻域幅度特性曲線 102030405060 0 0.2 0.4 0.6 0.8 1 samples amplitude time domain 00.20.40.60.8 -80 -60 -40 -20 0 20 40 normalized frequency ( rad/sam

32、ple) magnitude (db) frequency domain 圖 3-6 凱瑟窗的時域和頻域幅度特性曲線 3.3 利用信號處理工具箱利用信號處理工具箱 sptool 設計法設計法 對基于 gui 的 matlab 數字信號處理過程進行介紹,包括了如下步驟: (1)使用 sptool 導入信號; (2)使用 fdatool 設計 fir 濾波器; (3)在 sptool 中,進行數字濾波; (4)使用信號瀏覽器 signal browser 對比濾波器輸入和輸出信號; (5)使用功率譜瀏覽器 spectrum viewer 對比信號頻譜差異。 3.3.1 信號的創(chuàng)建與導入信號的創(chuàng)建與

33、導入 我們先要在 matlab 命令窗(command window)中創(chuàng)建用于后續(xù)分析的隨機信號, 輸入命令創(chuàng)建包含 3000 個元素的服從均值為 0、方差為 1 的正態(tài)分布隨機列矢量 x,采 樣頻率 fs 為 x 的元素個數,然后輸入 sptool 打開信號處理交互界面: x=randn(3000,1); fs=length(x); sptool 用 import 選項將隨機信號 x 導入 sptool 的 signal 列表框,這樣信號 noise,vector添 加完成并處于選中狀態(tài)。 3.3.2 fir 濾波器設計濾波器設計 在 sptool 中單擊 filters 列表框下的 ne

34、w 按鈕,打開 fdatool 工具的交互界面,然 后進行濾波器參數設置,fir 濾波器為帶通型,通帶截止頻率 fpass1 為 450,fpass2 為 750,阻帶截止頻率 fstop1 為 300,fstop2 為 900,通帶紋波系數 apass 為 0.1,阻帶衰減系 數 astop1 為 75,則主軸顯示區(qū)域可以查看所設計濾波器的幅頻曲線,如圖 3-7 所示。 圖 3-7 fir 濾波器幅頻響應 圖 3-8 fir 濾波器的相位響應 圖 3-9 fir 濾波器脈沖響應 3.3.3 信號濾波信號濾波 在 sptool 中,單擊 signals 列表框的信號 noisevector,并

35、在 filter 列表框單擊濾波 器 filt1design,單擊 filters 列表框下的 apply 按鈕,用濾波器 filt1 對信號 noise 濾波, 如圖 3-10 所示,濾波后的信號 firnoisevector將出現在 signals 列表框內。 圖 3-10 apply filter 對話框 3.3.4 時域信號比較時域信號比較 首先在 sptool 的 signals 列表框內,按住 shift 鍵,同時選中信號 noise 和 firnoise。 單擊 signals 列表框下的 view 按鈕,打開 signal browser 界面,信號 noise 和 firno

36、ise 將同 時顯示在主軸顯示區(qū)域,如圖 3-11 所示。 圖 3-11 時域信號的顯示 3.3.5 信號頻譜比較信號頻譜比較 在 sptool 中,選中信號 noisevector,然后在 spectra 列表框下單擊 create 按鈕,打開 spectrum viewer 界面,并且在 spectra 列表框預生成信號 noise 的頻譜 spect1auto。通過 在 spectrum viewer 中單擊 apply 按鈕,信號 noise 的頻譜 spect1auto。通過在 spectrum viewer 中單擊 apply 按鈕,信號 noise 的頻譜將顯示在主軸顯示區(qū)域,如

37、圖 3-12 所示。 圖 3-12 信號 noise 的頻譜 同樣地,可以生成信號 firnoise 的頻譜 spect2auto,然后在 sptool 中,按住 shift 鍵 同時選中 spectra 列表框中的 spect1 和 spect2,單擊 spectra 列表框下的 view 按鈕,這是 spect1 和 spect2 將同時在 spectrum viewer 中顯示,如圖 3-13 所示。 圖 3-13 同時顯示 spect1 和 spect2 4 窗函數法設計 fir 數字濾波器 4.1 fir 數字低通濾波器數字低通濾波器設計設計 技術指標為:=0.2, =0.4, =0

38、.25db, =40db p s p a s a 分析:根據窗函數最小阻帶衰減的特性表 4-1,可知海明窗和漢寧窗能提供大于 50db 的衰減。本次選擇漢寧窗,其過渡帶為 6.2/n,因此具有較小的階次。 在 matlab 中,實現漢寧窗的函數為 hann,調用格式如下: w=hann (n) w=hann (n,sflag) hann 函數中的參數 sflag 為采樣方式,其值可取 symmetric(默認值)或 periodic。當 sflag=symmetric 時,為對稱采樣;當 sflag=periodic 時,為周期采樣,此時 hann 函數計算 n+1 個點的窗,但是僅返回前 n

39、+1 個點。 010203040 -0.1 0 0.1 0.2 0.3 三 三 三 三 三 三 三 三 hd(n) 010203040 0 0.2 0.4 0.6 0.8 1 三 三 三 w(n) 010203040 -0.1 0 0.1 0.2 0.3 三 三 三 三 三 三 三 三 hd(n) 00.51 -100 -50 0 三 三 三 三 (db) 圖 4-1 型 fir 數字低通濾波器沖擊響應與幅度響應 (1)理想低通濾波器的單位沖激響應計算( ) d h n function hd=ideal_lp(wc,n) alpha=(n-1)/2; n=0:1:n-1; m=n-alpha

40、+eps; hd=sin(wc*m)./(pi*m); (2)利用 matlab 實現設計濾波器的沖激響應與幅度響應如圖 4-1 所示,該例的 matlab 實現如程序 4-1(見附錄)。 運行結果:n =32 =0.0730 =44 p a s a 4.2 fir 數字高通濾波器設計數字高通濾波器設計 數字高通濾波器的設計分兩種情況,即無相移和相移為兩種情況。當無相移時,2 n 為奇數時,所設計的 fir 數字高通濾波器為型濾波器;當 n 為偶數時,為型濾波 器。當相移位時,n 為奇數時,所設計的 fir 數字高通濾波器為型濾波器;當2 n 為偶數時,為型濾波器。 4.2.1 型數字高通濾波

41、器型數字高通濾波器 技術指標:=0.6, =0.35, =0.25db, =50db p s p a s a 分析:根據窗函數最小阻帶衰減的特性表 4-1,可知海明窗和布拉克曼窗能提供大于 50db 的衰減。本次選擇海明窗,其過渡帶為 6.6/n,因此具有較小的階次。 在 matlab 中,實現海明窗的函數為 hamming,調用格式分別如下: w=hamming(n) w=hamming(n,sflag) 其中 sflag 的用法同 hann 函數。 (1)、型理想高通濾波器的單位沖激響應的計算( ) d h n function hd=ideal_hp(wc,n) alpha=(n-1)/

42、2; n=0:1:n-1; m=n-alpha+eps; hd=sin(pi*m)-sin(wc*m)./(pi*m); (2)利用 matlab 實現設計濾波器的沖激響應與幅度響應如圖 4-2 所示,該例的 matlab 實現如程序 4-2(見附錄)。 運行結果:n =27 =0.0564 =50 p a s a 0102030 -0.4 -0.2 0 0.2 0.4 0.6 三 三 三 三 三 三 三 三 hd(n) 0102030 0 0.2 0.4 0.6 0.8 1 三 三 三 w(n) 0102030 -0.5 0 0.5 1 三 三 三 三 三 三 三 三 hd(n) 00.51

43、 -100 -50 0 三 三 三 三 (db) 圖 4-2 型 fir 數字高通濾波器沖擊響應與幅度響應 4.2.2 型數字高通濾波器型數字高通濾波器 技術指標:=0.6, =0.4, =0.25db, =23db p s p a s a 要求設計一個具有+的 fir 數字高通濾波器:2 分析:根據窗函數最小阻帶衰減的特性表 4-1,可知漢寧窗可達到 44db 的最小阻帶 衰減。其過渡帶為 6.2/n,因此具有較小的階次。 在 matlab 中,實現三角窗的函數為 triang,調用格式為: w=triang(n) w=hann (n,sflag) (1)、型理想高通濾波器的單位沖激響應的計

44、算( ) d h n function hd=ideal_hp2(wc,n) alpha=(n-1)/2; n=0:1:n-1; m=n-alpha+eps; hd=cos(pi*m)-cos(wc*m)./(pi*m); (2)利用 matlab 實現設計濾波器的沖激響應與幅度響應如圖 4-3 所示,該例的 matlab 實現如程序 4-3(見附錄)。 運行結果:n=32 =0.3128 =27 p a s a 010203040 -0.5 0 0.5 三 三 三 三 三 三 三 三 hd(n) 010203040 0 0.2 0.4 0.6 0.8 1 三 三 三 w(n) 0102030

45、40 -0.5 0 0.5 三 三 三 三 三 三 三 三 hd(n) 00.51 -100 -50 0 三 三 三 三 (db) 圖 4-3 型 fir 數字高通濾波器沖擊響應與幅度響應 4.3 fir 數字帶通濾波器數字帶通濾波器設計設計 技術指標: =0.4, =0.6, =0.2, =0.8 pl ph sl sh =1db, =1db , =60 db, =60 db pl a ph a sl a sh a 分析:根據窗函數最小阻帶衰減的特性表 4-1,可知布拉克曼窗可達到 75db 的最小 阻帶衰減。其過渡帶為 11/n,因此具有較小的階次。 在 matlab 中,實現布拉克曼窗的

46、函數為 blackman,調用格式如下: w=blackman(n) w=blackman(n,sflag) (1)、型理想帶通通濾波器的單位沖激響應的計算( ) d h n function hd=ideal_bp1(wcl,wch,n) alpha=(n-1)/2; n=0:1:n-1; m=n-alpha+eps; hd=sin(wch*m)-sin(wcl*m)./(pi*m); (2)利用 matlab 實現設計濾波器的沖激響應與幅度響應如圖 4-4 所示,該例的 matlab 實現如程序 4-4(見附錄)。 運行結果:n=56 = 0.0027 =73 p a s a 020406

47、0 -0.4 -0.2 0 0.2 0.4 三 三 三 三 三 三 三 三 hd(n) 0204060 0 0.2 0.4 0.6 0.8 1 三 三 三 三 三 w(n) 0204060 -0.4 -0.2 0 0.2 0.4 三 三 三 三 三 三 三 三 hd(n) 00.51 -100 -50 0 三 三 三 三 (db) 圖 4-4 型 fir 數字帶通濾波器沖擊響應與幅度響應 4.4 fir 數字帶阻濾波器數字帶阻濾波器設計設計 數字帶阻濾波器的設計只有一種情況,n 為奇數時,所設計的 fir 數字帶阻濾波器 為型濾波器;當 n 為偶數時,所設計的 fir 數字帶阻濾波器為型濾波器

48、。 技術指標:=0.1, =0.9, =0.4, =0.6 pl ph sl sh =1db, =1db , =40 db, =40 db pl a ph a sl a sh a 分析:根據窗函數最小阻帶衰減的特性表 4-1,可知漢寧窗可達到 44db 的最小阻帶 衰減。其過渡帶為 6.2/n,因此具有較小的階次。 在 matlab 中,實現漢寧窗的函數為 hann,調用格式如下: w=hann (n) w=hann (n,sflag) (1)、型理想帶通濾波器的單位沖激響應的計算( ) d h n function hd=ideal_bs(wcl,wch,n) alpha=(n-1)/2;

49、n=0:1:n-1; m=n-alpha+eps; hd=sin(wcl*m)+sin(pi*m)-sin(wch*m)./(pi*m); (2)利用 matlab 實現設計濾波器的沖激響應與幅度響應如圖 4-5 所示,該例的 matlab 實現如程序 4-5(見附錄)。 運行結果:n=21 = 0.0739 =44 p a s a 05101520 -0.2 0 0.2 0.4 0.6 三 三 三 三 三 三 三 三 hd(n) 05101520 0 0.2 0.4 0.6 0.8 1 三 三 三 w(n) 05101520 -0.2 0 0.2 0.4 0.6 三 三 三 三 三 三 三

50、三 hd(n) 00.51 -100 -50 0 三 三 三 三 (db) 圖 4-5 型 fir 數字帶阻濾波器沖擊響應與幅度響應 5 總 結 fir數字濾波波器在數字處理領域有非常重要的地位,因為許多信號處理系統,如圖 像處理、數字音頻等系統要求具有線性相位特性,在這方面fir濾波器有獨特的優(yōu)點。此 外,fir濾波器的沖激響應是有限長序列,其系統函數為一個多項式,它所含的極點多為 原點,所以fir濾波器永遠是穩(wěn)定的。 在應用matlab語言進行fir濾波器的設計時,可以隨時對比設計要求和濾波器特性, 并可通過不斷調整設計參數,獲得較合適的沖激響應和幅度響應,以使濾波器達到最優(yōu) 化。在對數字

51、濾波器的設計中,matlab顯示了其強大的計算功能,必然在以后的數字 信號處理中,展現更為廣闊的應用前景。 參考文獻 1 王彬.matlab數字信號處理m .機械工業(yè)出版社,2010 2 劉波.matlab信號處理m.電子工業(yè)出版社,2006 3 王世一.數字信號處理m.北京理工大學出版社,2005 4 高西全,丁玉美.數字信號處理m .西安電子科技大學出版社,2008 5 龔劍,朱亮.matlab入門與提高m .清華大學出版社,2000 6 王宏.matlab 6.5及其在信號處理中的應用m .清華大學出版社,2004 7 薛年喜.matlab在數字信號處理中的應用m .清華大學出版社,20

52、03 8 李正周.matlab數字信號處理與應用m .清華大學出版社,2008 9 陳建文,皇莆堪,樓強生.現代數字信號處理m .電子工業(yè)出版社,2003 10 陳懷琛.數字信號處理教程-matlab釋義與實現m .電子工業(yè)出版社,2008 11 張智星.matlab程序設計與應用m.清華大學出版社,2002 12 邵朝,陰亞芳.數字信號處理m.北京郵電大學出版社,2004 13 羅軍輝,羅勇江.matlab在數字信號處理中的應用m.機械丁業(yè)出版社,2005 14 邵玉斌.matlab/simulink通信系統建模與仿真實例分析m .清華大學出版社,2008 15 樓順天,李博煜.基于matl

53、ab的系統仿真與設計信號處理m.西安電子科技大學出 版社,1998 附 錄 窗函數的選擇原則是: (1)具有較低的旁瓣幅度,尤其是第一旁瓣幅度; (2)旁瓣幅度下降速度要大,以利于增加阻帶衰減; (3)主瓣的寬度要窄,以獲得較陡的過渡帶。 表4-1 各種窗函數的性能比較 窗函數第一旁瓣相對于主瓣衰減/db主瓣寬阻帶最小衰減/db 矩形窗-134/n21 三角窗-258/n25 漢寧窗-318/n44 海明窗-418/n53 布拉克曼窗-5712/n74 凱瑟窗可調可調可調 切比雪夫窗可調可調可調 例4-1 利用漢寧窗設計型數字低通濾波器 clear all; wp=0.2*pi; ws=0.4

54、*pi; tr_width=ws-wp; n=ceil(6.2*pi/tr_width)+1 n=0:1:n-1; wc=(ws+wp)/2; hd=ideal_lp(wc,n); w_han=(hanning(n); h=hd.*w_han; db,mag,pha,w=freqz_m2(h,1); delta_w=2*pi/1000; ap=-(min(db(1:1:wp/delta_w+1) as=-round(max(db(ws/delta_w+1:1:501) subplot(221) stem(n,hd) title(理想單位脈沖響應hd(n) subplot(222) stem(n

55、,w_han) title(漢寧窗w(n) subplot(223) stem(n,h) title(實際單位脈沖響應hd(n) subplot(224) plot(w/pi,db) title(幅度響應(db) axis(0,1,-100,10) 例4-2 利用海明窗設計型數字高通濾波器 clear all; wp=0.6*pi; ws=0.35*pi; tr_width=wp-ws; n=ceil(6.6*pi/tr_width) n=0:1:n-1; wc=(ws+wp)/2; hd=ideal_hp1(wc,n); w_ham=(hamming(n); h=hd.*w_ham; db,

56、mag,pha,w=freqz_m2(h,1); delta_w=2*pi/1000; ap=-(min(db(wp/delta_w+1:1:501) as=-round(max(db(1:1:ws/delta_w+1) subplot(221) stem(n,hd) title(理想單位脈沖響應hd(n) subplot(222) stem(n,w_ham) title(海明窗w(n) subplot(223) stem(n,h) title(實際單位脈沖響應hd(n) subplot(224) plot(w/pi,db) title(幅度響應(db) axis(0,1,-100,10) 例4-3 利用三角窗設計型數字高通濾波器 clear all;

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論