彩燈課程設(shè)計(jì)說明書總體方案的設(shè)計(jì)_第1頁
彩燈課程設(shè)計(jì)說明書總體方案的設(shè)計(jì)_第2頁
彩燈課程設(shè)計(jì)說明書總體方案的設(shè)計(jì)_第3頁
彩燈課程設(shè)計(jì)說明書總體方案的設(shè)計(jì)_第4頁
彩燈課程設(shè)計(jì)說明書總體方案的設(shè)計(jì)_第5頁
已閱讀5頁,還剩22頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、1 前言 隨著社會市場經(jīng)濟(jì)的不斷繁榮和發(fā)展,各種裝飾彩燈、廣告彩燈越來越多地出現(xiàn)在城市中。彩燈又名花燈,彩燈藝術(shù)也是燈的綜合性裝飾藝術(shù)。彩燈的產(chǎn)生,是從人類運(yùn)用火、發(fā)明燈、制造燈具等發(fā)明出來的。隨著我國科學(xué)技術(shù)的發(fā)展,彩燈藝術(shù)更是花樣翻新,奇招頻出。傳統(tǒng)的制燈工藝和現(xiàn)代科學(xué)技術(shù)緊密結(jié)合,將電子、建筑、遙控、機(jī)械、聲學(xué)、光導(dǎo)纖維等新技術(shù)、新工藝用于彩燈的設(shè)計(jì)制作,把形、色、光、聲、動相結(jié)合,思想性、藝術(shù)性、趣味性、知識性相統(tǒng)一的典范。在大型晚會的現(xiàn)場,彩燈更是成為不可缺少的一道景觀。小型的彩燈多為采用霓虹燈管做成各種各樣和多種色彩的燈管,或是以日光燈、白熾燈作為光源,另配大型廣告語、宣傳畫來達(dá)到

2、效果。這些燈的控制設(shè)備多為數(shù)字電路。本次設(shè)計(jì)采用的555定時(shí)器作為脈沖控制部分,自1972年美國SIGNEILCS公司開發(fā)出NE555時(shí)基電路以來,至今已有30多年的歷史,由于該集成電路成本低,易使用、適用面廣、穩(wěn)定性高,占領(lǐng)了廣大市場,經(jīng)久不衰,受到電子、電氣設(shè)計(jì)與制作人員的歡迎世界各國集成電路生產(chǎn)廠商紛紛競相仿制。目前該類產(chǎn)品已形成一支龐大的隊(duì)伍。這次需要解決的問題主要是通過各種元器件,使用數(shù)字電路和模擬電路,實(shí)現(xiàn)彩燈的忽明忽暗功能,使其更具有觀賞性。目的是為了進(jìn)一步掌握數(shù)字電路課程所學(xué)的理論知識,并熟悉和掌握一些常用數(shù)字芯片的功能和作用,并且了解數(shù)字系統(tǒng)設(shè)計(jì)的基本思想和方法,學(xué)會科學(xué)分析

3、和解決問題,培養(yǎng)認(rèn)真嚴(yán)謹(jǐn)?shù)墓ぷ髯黠L(fēng)和實(shí)事求是的工作態(tài)度,同時(shí)增強(qiáng)了我們的動手實(shí)踐的能力。通過課程設(shè)計(jì)運(yùn)用到相關(guān)的數(shù)字電路基礎(chǔ)、模擬電路知識,我們可以從另一方面更加了解這些學(xué)科,從而對我們的專業(yè)也多了一些認(rèn)識。要求本次設(shè)計(jì)的彩燈控制器達(dá)到實(shí)現(xiàn)左移和右移,并且每移一次時(shí)間間隔為1S,然后同時(shí)亮0.5S和同時(shí)滅0.5S一共4次的功能,并且能夠按照此種規(guī)律一直循環(huán)下去。 本次課程設(shè)計(jì)采用的指導(dǎo)思想,是利用555定時(shí)器構(gòu)成的多諧振蕩器產(chǎn)生周期性的矩形脈沖,控制74LS161模十六計(jì)數(shù)器,74LS161A輸出2倍T的脈沖信號;B輸出4倍T的脈沖信號,大約為1S,通過控制S0和S1實(shí)現(xiàn)右移、左移和送數(shù),通過

4、控制CLR控制清零。第一節(jié)拍為1右移,第二節(jié)拍為0左移,第三節(jié)拍全亮為置數(shù)1,全滅為清零。由于程序循環(huán)一次要12秒,故需要一個(gè)12進(jìn)制的計(jì)數(shù)器控制循環(huán)。第三節(jié)拍時(shí)要求1秒內(nèi)全滅全亮各一次,故脈沖信號頻率比先前兩節(jié)拍時(shí)脈沖頻率要快一倍,而且要以相同頻率控制CLR??梢杂靡粋€(gè)16進(jìn)制計(jì)數(shù)器產(chǎn)生脈沖信號,一路送到控制12進(jìn)制的計(jì)數(shù)器,一路經(jīng)邏輯電路送到移位寄存器。本次課程設(shè)計(jì)只是一次初級的對數(shù)字電子技術(shù)和模擬電子技術(shù)的應(yīng)用,相關(guān)問題解決的還不太完善,方案也不是最優(yōu)化的,隨著以后學(xué)習(xí)到的知識的加深,相信方案可以變得更好。2 總體方案設(shè)計(jì)2.1 方案比較方案一:根據(jù)課程設(shè)計(jì)課題要求,要實(shí)現(xiàn)本系統(tǒng),需要設(shè)

5、計(jì)時(shí)鐘脈沖產(chǎn)生電路,循環(huán)控制電路和彩燈花樣輸出電路。彩燈的三個(gè)節(jié)拍可以用移位寄存器74LS194實(shí)現(xiàn),通過控制S0和S1實(shí)現(xiàn)右移、左移和送數(shù),通過控制CLR控制清零。第一節(jié)拍為1右移,第二節(jié)拍為0左移,第三節(jié)拍全亮為置數(shù)1,全滅為清零。 12進(jìn)制循環(huán)控制器多 諧 振 蕩 器 16進(jìn)制分頻計(jì)數(shù)器移位計(jì)數(shù)器74LS194彩 燈 顯 示 輸 出圖2.1.1總體方案一框圖由于程序循環(huán)一次要12秒,故需要一個(gè)12進(jìn)制的計(jì)數(shù)器控制循環(huán)。第三節(jié)拍時(shí)要求1秒內(nèi)全滅全亮各一次,故脈沖信號頻率比先前兩節(jié)拍時(shí)脈沖頻率要快一倍,而且要以相同頻率控制CLR??梢杂靡粋€(gè)16進(jìn)制計(jì)數(shù)器產(chǎn)生脈沖信號,一路送到控制12進(jìn)制的計(jì)

6、數(shù)器,一路經(jīng)邏輯電路送到移位寄存器。多諧振蕩器用于產(chǎn)生連續(xù)的脈沖方波周期性信號,并且每個(gè)脈沖的上升沿或者下降沿將使彩燈輸出信號發(fā)生一次改變,周期的改變可以通過此模塊實(shí)現(xiàn)。16分頻計(jì)數(shù)器是由74LS161構(gòu)成,本次設(shè)計(jì)將利用它的計(jì)數(shù)功能,使輸出端的計(jì)數(shù)分為四路,達(dá)到四路彩燈控制的要求。移位計(jì)數(shù)器的功能是用芯片74LS194實(shí)現(xiàn)的,移位寄存器是一個(gè)具有移位功能的寄存器,是指寄存器中所存的代碼能夠在移位脈沖的作用下依次左移或右移。既能左移又能右移的稱為雙向移位寄存器,只需改變左、右移的控制信號便可實(shí)現(xiàn)雙向移位的要求。輸出接彩燈輸出電路,便可以實(shí)現(xiàn)其要求。方案二:彩 燈 輸 出 電 路脈 沖 控 制

7、電 路脈 沖 分 配 器固 態(tài) 繼 電 器圖2.1.2 總體方案二框圖根據(jù)課程設(shè)計(jì)要求,首先需要脈沖控制電路版塊,這一部分由555時(shí)基電路組成的多諧振蕩功能來實(shí)現(xiàn),產(chǎn)生連續(xù)的周期性的方波信號,并且可以調(diào)節(jié)脈沖周期的長短,從而控制脈沖分配器,使其輸出的信號能夠驅(qū)動固態(tài)繼電器。固態(tài)繼電器受觸發(fā)后依次開通,驅(qū)動彩燈按次序發(fā)光。固態(tài)繼電器需要四個(gè),分別與每組燈相連,分開控制每個(gè)燈泡,它的通態(tài)輸出電流可達(dá)3A(有效值),由于市電為220V,所以每路彩燈可控最大功率可達(dá)600W。本方案屬于大功率電路,適用的范圍很廣,燈光較亮,但是耗能很高,成本較高。方案三: 電 源 電 路 彩燈輸出電路彩燈控制電路圖2.

8、1.3 總體方案三框圖電源電路由整流二極管、限流電阻器、穩(wěn)壓二極管和濾波電容器組成。彩燈控制電路由計(jì)數(shù)器集成電路IC、電阻器肛-R13、電容器、可變電阻器RP、晶閘管和彩燈組成。交流220V電壓經(jīng)電源組成板塊的文式橋二極管整流整流、然后經(jīng)限流降壓、穩(wěn)壓及濾波后,為IC提供6.8V直流工作電源。IC和外部電阻、電容組成多諧振蕩器,在接通電源后即開始工作,IC對多諧振蕩器產(chǎn)生的振蕩信號進(jìn)行分頻計(jì)數(shù)后,從IC的Q4-QlO端和Q12-Q14端輸出變化的控制電平,使燈泡相連的二極管間歇導(dǎo)通,彩燈按不同的頻率閃爍發(fā)光,調(diào)節(jié)RP的阻值,可改變彩燈閃爍的頻率。本實(shí)驗(yàn)設(shè)計(jì)方案沒由采用555定時(shí)器來構(gòu)成多諧振蕩

9、器輸出脈沖,而是用計(jì)數(shù)集成電路IC和外部電容、電阻構(gòu)成,方式較前兩種方案有所不同,實(shí)現(xiàn)脈沖的過程較復(fù)雜,況且對其脈沖的周期性也不好控制。目前對此種實(shí)現(xiàn)脈沖的方式還不太了解,集成數(shù)字芯片計(jì)數(shù)器IC的管腳功能也不熟悉,對其產(chǎn)生脈沖的穩(wěn)定性也不能肯定。方案四:花 型 演 示 電 路花 型 控 制節(jié) 拍 控 制 電 路時(shí) 鐘 信 號 電 路圖2.1.4 總體方案四框圖總體電路共分三大塊。第一塊實(shí)現(xiàn)花型的演示;第二塊實(shí)現(xiàn)花型的控制及節(jié)拍控第三塊實(shí)現(xiàn)時(shí)鐘信號的產(chǎn)生。將花型控制和節(jié)拍控制放在一個(gè)模塊里實(shí)現(xiàn)。 方案五:花 型 演 示 電 路花 型 控 制 電 路節(jié) 拍 控 制 電 路時(shí) 鐘 信 號 電 路圖2

10、.1.5 總體方案五框圖在方案四的基礎(chǔ)上將整體電路分為四塊。第一塊實(shí)現(xiàn)時(shí)鐘信號的產(chǎn)生;第二塊實(shí)現(xiàn)節(jié)拍的控制;第三塊實(shí)現(xiàn)花型控制;第四塊實(shí)現(xiàn)花型的演示。并在部分電路的設(shè)計(jì)上與方案一采用了完全不同的方法,如花型的控制。方案六:C語言編程實(shí)現(xiàn)亮燈順序AT89C51單片機(jī)控制LED彩燈顯示輸出圖2.1.6 總體方案六框圖第一塊的作用是用C語言編程實(shí)現(xiàn)課程設(shè)計(jì)要求。其中會用到C語言中的移位、建立延時(shí)函數(shù)來實(shí)現(xiàn)對燈光的延時(shí)、閃爍的功能。第二塊作用是用單片機(jī)將處于嚴(yán)重實(shí)現(xiàn)的功能電路畫出來,從而可以繼續(xù)C語言和單片機(jī)的握手連接,經(jīng)過調(diào)試后達(dá)到要求。2.2方案論證與方案選擇在以上幾種方案之中,方案一的條理清晰,

11、所用到的芯片比較常見,并且大部分功能都是在我們的書本知識中可以了解到的,其中典型的555定時(shí)器構(gòu)成的多諧振蕩器,能夠產(chǎn)生穩(wěn)定的,周期可調(diào)的連續(xù)脈沖。其中用到的74LS194移位寄存器很容易的實(shí)現(xiàn)了彩燈的移位功能。并且利用了十二進(jìn)制循環(huán)控制器使燈泡的明暗能夠循環(huán)的進(jìn)行。方案二中同樣也用到了555定時(shí)器構(gòu)成的多諧振蕩器,總體框圖較簡單,但此種方案比較適合大功率的循環(huán)彩燈控制,每個(gè)燈泡的功率高達(dá)600W,耗能很高,成本開支較大,適合大型的舞臺燈光,并且現(xiàn)在我們對電磁繼電器的功能還不了解,設(shè)計(jì)中可能會出現(xiàn)一些困難。本次設(shè)計(jì)僅僅針對小型功率的彩燈控制器,所以此種方案不適用。 方案三的框圖都是所有方案中最

12、簡單的,但是會涉及到很多未學(xué)到的知識,比如集成電路IC、電阻器肛-R13,這些元器件都沒有了解過,設(shè)計(jì)起來困難較大。方案四基于基本要求而設(shè)計(jì)的,方案五加入了節(jié)拍的變化,花型控制電路和花型演示電路的CP都是節(jié)拍控制之后的CP。方案四與方案五最大的不同就在,前者將花型控制與節(jié)拍控制兩種功能融合在一起,是考慮到只要計(jì)數(shù)器就可以實(shí)現(xiàn)其全部功能的原因,且原理相對簡單。如此設(shè)計(jì),其優(yōu)點(diǎn)在于:設(shè)計(jì)思想比較簡單。元件種類使用少,且都較熟悉易于組裝電路。缺點(diǎn)則是:中間單元電路連線過于繁多,容易出錯(cuò)。且可能出現(xiàn)線與線關(guān)系。要避免這些,則勢必造成門電路使用過多。導(dǎo)致電路不穩(wěn)定,抗干擾能力下降。而后者則將以上兩種功能

13、分開設(shè)計(jì),各單元電路只實(shí)現(xiàn)一種功能。其優(yōu)點(diǎn)在于:電路設(shè)計(jì)模塊化,易于檢查電路,對后面的電路組裝及電路調(diào)試帶來方便。缺點(diǎn)則是:節(jié)拍控制電路采用可編輯邏輯電路,原理相對復(fù)雜,不易理解。花型控制電路簡單,花型也比較簡單。方案六中涉及到大三課程中即將用到的單片機(jī)知識,鑒于目前對單片機(jī)只有粗淺的了解,很多功能還不知道如何去實(shí)現(xiàn),且單片機(jī)功能強(qiáng)大,涉及到的問題較多,為了簡化設(shè)計(jì),使設(shè)計(jì)更容易被我們理解,并且要貼合目前學(xué)到的數(shù)字電路和模擬電路所用到的知識,故不選擇這種方案。綜上所述,本次課程設(shè)計(jì)將采用方案一,它運(yùn)用到了我們已經(jīng)學(xué)過的數(shù)字電路和模擬電路,并且模塊部分實(shí)現(xiàn)的功能很清晰,易理解。實(shí)現(xiàn)的電路圖也很能

14、夠使我們更加理解平時(shí)課本上學(xué)到的東西,我們可以從另一方面更加了解這些學(xué)科,也用到了很多本專業(yè)的相關(guān)軟件,比如Protel、Tina等,從而對我們的專業(yè)也多了一些認(rèn)識。3單元模塊設(shè)計(jì)3.1各單元模塊功能介紹及電路設(shè)計(jì)1 時(shí)鐘脈沖產(chǎn)生電路本模塊將用555定時(shí)器構(gòu)成的多諧振蕩器來產(chǎn)生連續(xù)的、周期性的、可調(diào)的時(shí)間脈沖,它的作用是觸發(fā)16分頻計(jì)數(shù)器74LS161工作,從而輸出四路信號。圖3.1.1 時(shí)鐘脈沖產(chǎn)生電路555定時(shí)器構(gòu)成的多諧振蕩器,內(nèi)部的比較靈敏度較高,而且采用差分電路形式,振蕩頻率受電源電壓和溫度變化的影響很小。并且如果改變R1與R2的阻值便可以改變多諧振蕩器輸出脈沖的頻率。4管腳是低電平

15、有效的復(fù)位端,這里將其與+5V的高電平相連使其一直位于高電平狀態(tài)而不工作。C2是充放電電容,也可以改變C2的值,從而改變輸出脈沖的占空比,可以改變燈光亮與滅的時(shí)間。2 循環(huán)控制電路本模塊的主要功能是實(shí)現(xiàn)4路彩燈的多次循環(huán)的功能。具體實(shí)現(xiàn)方式如下:圖3.1.2 十二進(jìn)制循環(huán)控制電路如圖2.2所示,將74LS161替換成了74LS163,它們在實(shí)現(xiàn)十二進(jìn)制循環(huán)控制的功能上是相同的。要使系統(tǒng)以十二進(jìn)制的長度循環(huán),可以利用74LS163計(jì)數(shù)清零的功能。如果模N計(jì)數(shù)器的計(jì)數(shù)序列從最小0到最大數(shù)N-1,那么N是多余的,可用與非門檢測N,當(dāng)N出現(xiàn)時(shí),與非門輸出為低,用它控制清零端CR,將計(jì)數(shù)器清零。此處工作

16、狀態(tài)從00001011,檢測1100(異步清零)。從74LS163輸出的1100送入與非門之前,13、14管腳輸出后各接一個(gè)非門,然后一起將數(shù)據(jù)送入與非門,從它的6管腳輸出的數(shù)據(jù)返回74LS163的1管腳實(shí)現(xiàn)清零功能,使74LS163能夠重新開始計(jì)數(shù)。3 彩燈花樣輸出電路此模塊將對四路彩燈的輸出進(jìn)行控制,彩燈的亮法規(guī)則是第一節(jié)拍時(shí)四路輸出Q1-Q4依次為高電平1,從而使第一路燈先亮,接著第二,第三,第四路燈依次點(diǎn)亮;第二節(jié)拍時(shí)四路輸出Q1-Q4依次為0,從而使第四路燈先滅,接著第三,第二,第一路燈依次熄滅;第三節(jié)拍時(shí)四路輸出Q1-Q4同時(shí)為高電平1,并保持0.5秒,然后同時(shí)為低電平0,并保持0

17、.5秒,從而使14路燈同時(shí)點(diǎn)亮0.5秒,而后同時(shí)熄滅0.5秒,共進(jìn)行4次。電路圖如下:圖3.1.3 彩燈花樣輸出電路本模塊用到了74LS194移位寄存器的移位功能,從而實(shí)現(xiàn)四路彩燈的三種花色的變化。它的輸出端狀態(tài)的變化情況計(jì)入表 3.1中。 3.2電路參數(shù)的計(jì)算及元器件的選擇1. 時(shí)鐘脈沖產(chǎn)生電路本模塊將選用555定時(shí)器構(gòu)成的多諧振蕩器產(chǎn)生連續(xù)的、周期性的、周期可調(diào)的矩形脈沖。 圖3.2.1 555定時(shí)器芯片用555定時(shí)器構(gòu)成多諧振蕩器,電路輸出便得到一個(gè)周期性的矩形脈沖,控制74LS161模十六計(jì)數(shù)器,74LS161A輸出2倍T的脈沖信號;B輸出4倍T的脈沖信號,大約為1S。用555定時(shí)器構(gòu)

18、成多諧振蕩器,電路輸出便得到一個(gè)周期性的矩形脈沖,其周期為:T=0.7(R1+2R2)C(3-2-1)控制74LS161模十六計(jì)數(shù)器電阻值和電容值可設(shè)為:R1=1K , R2=20K , C=0.01F由公式(1-1)計(jì)算得:T=0.287S2 循環(huán)控制電路循環(huán)控制電路的實(shí)現(xiàn)選用的是74LS163計(jì)數(shù)器,它在實(shí)現(xiàn)循環(huán)控制的功能上與74LS161相同。要使系統(tǒng)能夠?qū)崿F(xiàn)使二進(jìn)制的循環(huán),可以使輸出端控制在1100狀態(tài),立即反饋清零,計(jì)數(shù)器從新開始計(jì)數(shù)即可。反饋的過程中選用了一個(gè)與非門以及兩個(gè)非門,是數(shù)據(jù)在送入與非門之前變?yōu)?111,從而驅(qū)動與非門輸出為低電平,使清零端開始工作,計(jì)數(shù)器重新置數(shù)為000

19、0,然后又重新計(jì)數(shù),依次循環(huán)下去。 圖3.2.2 74LS163計(jì)數(shù)循環(huán)控制芯片如圖 3.2.2所示,管腳A、B、C、D是輸入端,管腳QA、QB、QC、QD是輸出端。 圖3.2.3 74LS20與非門反饋清零部分如圖3.2.3所示,QA、QB、QC、QD輸出后QA、QB接非門,然后四路數(shù)據(jù)一起送入74LS20的輸入端,左后經(jīng)Y輸出后反饋到CLR管腳實(shí)現(xiàn)清零功能。3 彩燈花樣輸出電路 要實(shí)現(xiàn)彩燈的移位功能,采用的是74LS194移位寄存器,從而實(shí)現(xiàn)送入數(shù)據(jù)的左移和右移的功能。74LS194的管腳分布如下: 圖3.2.3 74LS194移位寄存器它的各管腳功能如下:CLK 時(shí)鐘輸入端CLR 清除端

20、(低電平有效)AD 并行數(shù)據(jù)輸入端SLSER 左移串行數(shù)據(jù)輸入端SRSER右移串行數(shù)據(jù)輸入端S0、S1 工作方式控制端QAQD 輸出端其中S0=0/S1=0時(shí),工作方式為保持;S0=0/S1=1時(shí),工作方式為右移;S0=1/S1=0時(shí),工作方式為左移;S0=1/S1=0時(shí),工作方式為預(yù)置。需要說明的是輸出管腳Q0Q3無高地位之分,只是占位。SLSER、SRSER分別為左移輸入和右移輸入。(1)清除:當(dāng)CR= 0時(shí),不管其它輸入為何狀態(tài),輸出為全0狀態(tài)。(2)保持:CP = 0,CR = 1時(shí),其它輸入為任意狀態(tài),輸出狀態(tài)保持?;蛘逤R = 1,M1、M0均為0,其它輸入為任意狀態(tài),輸出狀態(tài)也將

21、保持。(3)置數(shù)(送數(shù)):CR= 1,M1 = M0 = 1,在CP脈沖上升沿時(shí),將數(shù)據(jù)輸入端數(shù)據(jù)D0、D1、D2、D3置入Q0、Q1、Q2、Q3中并寄存。(4)右移:CR= 1,M1 = 0,M0= 1,在CP脈沖上升沿時(shí),實(shí)現(xiàn)右移操作,此時(shí)若DSR= 0,則0向Q0移位,若DSR= 1,則1向Q0移位。(5)左移:CR= 1,M1= 1,M0= 0,在CP脈沖上升沿時(shí),實(shí)現(xiàn)左移功能。此時(shí)若DSL= 0,則把0向Q3移位,若DSL= 1,則把1向Q3移位。74LS194的功能表如下表所示:表3.2.1 輸 入 輸 出功 能/CRS1S0CPSLSRD0D1D2D3Q0Q1Q2Q30 清 零1

22、11 d0d1d2d3d0d1d2d3 送 數(shù)101 11Q0nQ1nQ2n 右 移110 0 Q1nQ2nQ3n 0 左 移由圖3.2.1所示可知,74LS194的移位功能在CP的上升沿觸發(fā)狀態(tài)開始工作。CR清零端的優(yōu)先級別最高,若CR端為低電平,無論輸入什么數(shù)據(jù),輸出端都為低電平。若要實(shí)現(xiàn)各個(gè)管腳的功能,必須將CR端置1。下圖是12進(jìn)制計(jì)數(shù)器的輸出端的C、D信號控制移位寄存器的S0和S1及其CLR端的真值表。表3.2.2CLK時(shí)間節(jié)拍QDQCS0S1SRSL74LS194動作01HZ脈沖1HZ脈沖1第一節(jié)拍00101 *右移112001023001034001045第二節(jié)拍0101 *0左

23、移156010167010178010182HZ脈沖9第三節(jié)拍1011* *送 1清 09101011101110111112101112131011131410111415101115161011由上表可以得出:S0QCS1QD+QCCLR(QD*A+QD)進(jìn)一步分析可知74LS194脈沖控制:CLK(QD*A+QD)*QD+B3.3特殊器件的介紹1 74LS04 圖3.3.1 74LS0474LS04是一個(gè)六反相器,每個(gè)反相器都是由圖3.3.1的非門構(gòu)成的,1A-6A是輸入端,1Y-6Y是輸出端,封裝是雙列直插式的。2 74LS09 圖3.3.2 74LS09 74LS09是一個(gè)三3輸入與

24、非門,每個(gè)與非門都是如圖3.3.2構(gòu)成的,實(shí)現(xiàn)的功能是:Y=A+B。其中1A-3A是輸入端,1B-3B是輸入端,1C-3C是輸入端,1Y-3Y是輸出端。是雙列直插式封裝。3 74LS20 圖3.3.3 74LS2074LS20是雙4輸入與非門,每個(gè)與非門的形式都與圖3.3.3相似,得到的Y是A*B*C*D反相后的值。其中1A、2A是輸入,1B、2B是輸入,1C、2C是輸入,1D、2D是輸入,1Y/2Y是輸出。是雙列直插式封裝。4 74LS32 圖3.3.4 74LS32 74LS32是四2輸入或門,實(shí)現(xiàn)的功能是Y=A+B,每個(gè)或門的形式都如圖3.3.4所示,其中1A-4A是輸入,1B-4B是輸

25、入,1Y-4Y是輸出。是雙列直插式封裝。3.4各單元模塊的聯(lián)接根據(jù)以上的各個(gè)模塊的介紹以及元器件的選擇,最后確定的連接方式是:先由一個(gè)555定時(shí)器構(gòu)成的多諧振蕩器產(chǎn)生連續(xù)的、周期性的、可調(diào)的、穩(wěn)定的連續(xù)矩形脈沖,然后送入到74LS163的脈沖觸發(fā)端中,然后把74LS163的循環(huán)控制計(jì)數(shù)定在12位,即從0000到1011,在下一個(gè)脈沖到來的時(shí)候清零,重新開始計(jì)數(shù)循環(huán)。然后將控制輸出的數(shù)據(jù)送入74LS194的脈沖端,從而使74LS194開始工作,最先開始移位,然后清零閃爍。一次循環(huán)完后,又由74LS163送過來的信號而開始下一次的循環(huán)。4系統(tǒng)調(diào)試 按電路圖畫好電路后利用PROTUSE軟件進(jìn)行調(diào)試,

26、調(diào)試中出現(xiàn)的故障、原因及排除方法如下:1. 彩燈只有一種變化,沒有其他的花樣。解決方法:可能是移位計(jì)數(shù)器的S0,S1端控制出現(xiàn)問題,應(yīng)該檢查循環(huán)控制邏輯電路是否連接正確。還可能是12進(jìn)制循環(huán)控制計(jì)數(shù)器沒正常工作,檢查是否正確接線,芯片是否選擇正確。特別注意不能把非門的輸入與輸出接反了。2. 彩燈無規(guī)律變化。解決方法:原因可能是12進(jìn)制循環(huán)控制電路不能產(chǎn)生符合要求的控制碼,檢查模十六計(jì)數(shù)器的CP脈沖是否穩(wěn)定,看74LS161是否能計(jì)數(shù),即QA、QB、QC、QD是否周期性的高低電平變化,前面沒問題,再檢查與非門74LS20和非門74LS04是否能實(shí)現(xiàn)它們邏輯功能。3彩燈四種花色都有,但一次循環(huán)結(jié)束

27、后到另一次循環(huán)開始中間有段時(shí)間燈不亮。解決方法:原因可能是控制循環(huán)的12進(jìn)制計(jì)數(shù)器沒有真確接線,實(shí)際控制循環(huán)的進(jìn)制大于12進(jìn)制,導(dǎo)致有放空時(shí)間。應(yīng)該檢查74LS20是否正常工作,確保能實(shí)現(xiàn)12進(jìn)制計(jì)數(shù)。5系統(tǒng)功能、指標(biāo)參數(shù)5.1系統(tǒng)能實(shí)現(xiàn)的功能 這次課程設(shè)計(jì)的所設(shè)計(jì)的系統(tǒng)實(shí)現(xiàn)的功能主要是使四路彩燈實(shí)現(xiàn)不同規(guī)律的,有次序的循環(huán)閃爍。5.2系統(tǒng)指標(biāo)參數(shù)測試 系統(tǒng)的仿真調(diào)試過程如圖5.2.1所示。圖5.2.1 仿真調(diào)試過程圖6結(jié)論 本次課程設(shè)計(jì)我選做的題目是:彩燈控制器。在電力資源必不可少的今天,本設(shè)計(jì)在社會生活中有很廣泛的應(yīng)用,比如城市夜景,舞臺燈光,信號燈等等。它不僅美化了我們的生活,也是我們走

28、進(jìn)一個(gè)電子化的新時(shí)代。 這次做的設(shè)計(jì),僅僅是最基礎(chǔ)的設(shè)計(jì),只是4個(gè)LED燈的變化,而現(xiàn)在生活中用到的LED燈要達(dá)到預(yù)想的效果,僅僅四個(gè)燈是不夠的,有的已達(dá)到百萬個(gè)千萬個(gè)LED燈,我們在廣場看到的LED液晶顯示大屏幕,也是這樣的原理而工作的,只不過涉及到的電路,程序也就復(fù)雜了很多。這次的設(shè)計(jì)利用的芯片大部分是我們在實(shí)驗(yàn)教學(xué)或者平時(shí)的專業(yè)知識中所學(xué)過的,用到的時(shí)候還比較熟悉,最后得到的結(jié)果閃爍的時(shí)間比所預(yù)計(jì)的時(shí)間要快。對于時(shí)間的計(jì)算方面掌握的還不太好。元器件的選擇還可以更精確。結(jié)果出來的時(shí)候,我覺得有的地方還應(yīng)改進(jìn)。如快慢節(jié)拍不是很明顯,花型比較簡單,且555產(chǎn)生的時(shí)鐘信號頻率太小等等。另外,如果

29、本次設(shè)計(jì)用單片機(jī)來實(shí)現(xiàn),可能電路圖與分析過程會更加簡單,但是由于我目前還沒有學(xué)到單片機(jī)的相關(guān)知識,用到的時(shí)候可能會造成一些不必要的錯(cuò)誤。這次的設(shè)計(jì)只是一個(gè)基礎(chǔ),為我們以后的學(xué)習(xí)增加了一些趣味性,使我們對學(xué)到的知識更加了解。實(shí)際中的問題還會更加的復(fù)雜。設(shè)計(jì)過程中涉及到的問題也會有很多,比如對調(diào)試過程中發(fā)現(xiàn)了錯(cuò)誤應(yīng)該怎樣解決的問題。因?yàn)槠綍r(shí)我們一直是在做東西,而要我們自己來發(fā)現(xiàn)自己的錯(cuò)誤的時(shí)候就不是那么的簡單了。7總結(jié)與體會課程設(shè)計(jì)剛開始,拿著選定的題目不知如何入手。畢竟課程設(shè)計(jì)不同于實(shí)驗(yàn)課,電路圖都要自己設(shè)計(jì)。并且很多的軟件都沒有用過,要開始設(shè)計(jì),必須先了解相關(guān)軟件的應(yīng)用。靜下心來,仔細(xì)分析題目,再加上指導(dǎo)老師的說明與提示,心中才有了譜。將整個(gè)系統(tǒng)根據(jù)不同的功能化分成模塊,再分別進(jìn)行設(shè)計(jì),逐個(gè)攻破,最

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論