EDAⅡ?qū)嶒?yàn)——多功能數(shù)字鐘_第1頁
EDAⅡ?qū)嶒?yàn)——多功能數(shù)字鐘_第2頁
EDAⅡ?qū)嶒?yàn)——多功能數(shù)字鐘_第3頁
EDAⅡ?qū)嶒?yàn)——多功能數(shù)字鐘_第4頁
EDAⅡ?qū)嶒?yàn)——多功能數(shù)字鐘_第5頁
已閱讀5頁,還剩31頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、eda 董怡 0510190108eda實(shí)驗(yàn)多功能數(shù)字鐘摘要:本次實(shí)驗(yàn)我們使用了軟件quartus及相應(yīng)的實(shí)驗(yàn)平臺來完成實(shí)驗(yàn),數(shù)字鐘的基本功能用電路元件設(shè)計(jì),仿真調(diào)試后下載到實(shí)驗(yàn)平臺上進(jìn)行實(shí)驗(yàn)。此次設(shè)計(jì)的數(shù)字鐘具有計(jì)時(shí)功能、校分、校時(shí)功能、整點(diǎn)報(bào)時(shí)功能、鬧鐘、秒表等功能。abstract:in this experiment we use the software quartus and the experiment platform to complete our experiment,we design the circuit with the component in the libra

2、ry and download it to the experiment platform after simulating .the arithmetic clock we designed this time has the function of counting, setting minute, setting hour, alarming when integral hours, clock ring, stop watch and so on. 關(guān)鍵字:多功能數(shù)字鐘,計(jì)時(shí),較分較時(shí),整點(diǎn)報(bào)時(shí),清零,鬧鐘key words: the multifunctional digital c

3、lock , the point reckoning by time , making suffer comparatively mark comparatively now and then, announce the hour , clear, alarm clock 目錄一 設(shè)計(jì)要求 4二 方案論證 5 總體電路圖 5 基本電路的工作原理 6 附加電路的工作原理 6三 各子模塊設(shè)計(jì) 7 1、分頻器模塊 7 方法一 7 方法二 92、計(jì)時(shí)器模塊103、校分校時(shí)電路模塊134、清零保持模塊145、報(bào)時(shí)電路模塊146、動態(tài)顯示模塊157、鬧鈴模塊188、秒表模塊21四 調(diào)試、仿真與編程下載22

4、 管腳分配22五 實(shí)驗(yàn)設(shè)想23 1、萬年歷的實(shí)現(xiàn) 232、彩鈴的實(shí)現(xiàn) 26 54分頻器 27 92分頻器 28 62分頻器 28 72分頻器 29 84分頻器 27六 實(shí)驗(yàn)總結(jié) 32 1、實(shí)驗(yàn)中出現(xiàn)的問題 32 2、實(shí)驗(yàn)感想 34七 參考文獻(xiàn)36正文一、設(shè)計(jì)要求基本要求:1、能進(jìn)行正常的時(shí)、分、秒計(jì)時(shí)功能;2、分別由六個(gè)數(shù)碼管顯示時(shí)分秒的計(jì)時(shí);3、 k1是系統(tǒng)的使能開關(guān)(k1=1正常工作,k1=0時(shí)鐘保持不變);4、 k2是系統(tǒng)的清零開關(guān)(k2=1正常工作,k2=0時(shí)鐘的分、秒全清零);5、 k3是系統(tǒng)的校分開關(guān)(k3=0正常工作,k3=1時(shí)可以快速校分);6、 k4是系統(tǒng)的校時(shí)開關(guān)(k4=0

5、正常工作,k4=1時(shí)可以快速校時(shí));附加功能:1、使時(shí)鐘具有整點(diǎn)報(bào)時(shí)功能(當(dāng)時(shí)鐘計(jì)到5953”時(shí)開始報(bào)時(shí),在5953”, 5955”,5957” 時(shí)報(bào)時(shí)頻率為512hz,5959”時(shí)報(bào)時(shí)頻率為1khz, );2、鬧表設(shè)定功能;3、秒表4、萬年歷以及彩鈴二、方案論證1、總體電路圖及簡單說明說明:k1=0時(shí)保持,k1=1時(shí)正常工作; k2=0時(shí)清零,k2=1時(shí)正常工作; k3=0時(shí)正常計(jì)時(shí),k3=1時(shí)給基本電路快速校分; k4=0時(shí)正常計(jì)時(shí),k4=1時(shí)給基本電路快速校時(shí); k5=0時(shí)顯示基本電路計(jì)時(shí),k5=1時(shí)顯示鬧鈴設(shè)定時(shí)間; k6=0時(shí)正常計(jì)時(shí),k6=1時(shí)給鬧鈴電路快速校分; k7=0時(shí)正常計(jì)

6、時(shí),k7=1時(shí)給鬧鈴電路快速校時(shí); k8=0時(shí)顯示正常計(jì)數(shù)電路,k8=1時(shí)顯示秒表電路;2、基本電路的工作原理:整個(gè)時(shí)鐘的基本電路由1hz頻率發(fā)生器、計(jì)時(shí)電路、校分電路、校時(shí)電路、動態(tài)顯示電路和報(bào)時(shí)電路組成。其中計(jì)時(shí)電路是由計(jì)數(shù)器組成,秒位分位是模60的計(jì)數(shù)器,時(shí)位是模24的計(jì)數(shù)器,秒個(gè)位對由分頻電路提供1hz的脈沖進(jìn)行計(jì)數(shù),形成時(shí)鐘;校分電路是在分位用一個(gè)1hz的脈沖替換秒十位的進(jìn)位脈沖,使計(jì)時(shí)器在1hz的脈沖的作用下計(jì)數(shù),達(dá)到校分效果;校時(shí)電路的原理與校分電路相同;動態(tài)顯示電路是由數(shù)據(jù)選擇器、譯碼器、數(shù)碼管以及一個(gè)用于控制的計(jì)數(shù)器組成,用模6計(jì)數(shù)器將時(shí)、分、秒的各位依次選通到對應(yīng)的數(shù)碼管,

7、當(dāng)閃爍頻率大于人眼的分辨頻率時(shí),就能動態(tài)顯示了;報(bào)時(shí)電路是由分頻器和蜂鳴器組成,以提供高低兩個(gè)頻率,在5953”, 5955”,5957”時(shí)使用500hz的頻率報(bào)時(shí),在5959”時(shí)用1khz的頻率報(bào)時(shí);清零電路只要控制計(jì)數(shù)器的清零端就可以實(shí)現(xiàn),但動態(tài)顯示電路的清零端不能清零,否則結(jié)果6個(gè)數(shù)碼管中只有最后一個(gè)顯示零,其他都沒有顯示;保持電路是通過控制計(jì)時(shí)電路的使能端實(shí)現(xiàn)的,當(dāng)給使能端低電平時(shí)計(jì)數(shù)器停止工作,但由于動顯電路任然正常工作,則顯示保持計(jì)數(shù)器停止工作前的示數(shù)。3、附加電路基本原理:附加功能有秒表和鬧鈴。其中鬧鈴是可以通過開關(guān)來設(shè)置時(shí)間,用數(shù)據(jù)比較器來實(shí)現(xiàn),當(dāng)基本電路的示數(shù)與設(shè)定的鬧鈴時(shí)間

8、相同時(shí),如果不關(guān)鬧鈴,鬧鈴會響一分鐘,但有開關(guān)可以隨時(shí)關(guān)閉鬧鈴,鬧鈴正常工作時(shí)不能影響基本電路的正常工作,另外,鬧鈴設(shè)定時(shí)間時(shí)數(shù)碼管應(yīng)該顯示設(shè)定的時(shí)間;秒表由6個(gè)數(shù)碼管顯示,有毫秒的個(gè)、十位,秒的個(gè)、十位以及分的個(gè)十位,設(shè)計(jì)原理是對100hz的脈沖計(jì)數(shù),秒、分位是模60的計(jì)數(shù)器,另外還要實(shí)現(xiàn)保持、清零功能,原理與基本電路的保持清零類似,并且由于開關(guān)的限制,仍然可以使用基本電路中的保持、清零開關(guān)。4、整個(gè)時(shí)鐘原理框圖如下:三、各子模塊的設(shè)計(jì)(一)分頻器模塊系統(tǒng)給定脈沖頻率是48mhz,而本次實(shí)驗(yàn)需要多種頻率的脈沖,如1khz、500hz、1hz,則需設(shè)計(jì)分頻電路,對48mhz進(jìn)行分頻。1、第一種

9、方法是先對其進(jìn)行24分頻再進(jìn)行2分頻,則可以實(shí)現(xiàn)48分頻,然后進(jìn)行兩次1000分頻。24分頻的實(shí)現(xiàn)方法是用兩片74160,用置數(shù)法實(shí)現(xiàn)時(shí),在23時(shí)進(jìn)行置數(shù),即第一片為0011第二片為0010時(shí)置數(shù),所以置數(shù)信號是b6&a5&b5,由于置數(shù)信號低電平有效還要加一反相器;二分頻電路是利用t觸發(fā)器來實(shí)現(xiàn)的;1000分頻的實(shí)現(xiàn)方法是用3片74160進(jìn)行模1000計(jì)數(shù),但前一片對后一片的進(jìn)位信號要用qd輸出信號,因?yàn)槿绻胷co信號作為進(jìn)位信號時(shí)很容易產(chǎn)生冒險(xiǎn),電路不可靠;要得到1000hz的脈沖,只要對48分頻后的脈沖進(jìn)行1000分頻,500hz是再進(jìn)行2分頻。電路圖如下:二分頻電路及對應(yīng)波形圖:24

10、、48分頻電路及對應(yīng)波形圖:1000分頻電路:總的分頻電路圖:2、第一種方法在實(shí)現(xiàn)基本電路時(shí)沒有任何問題,但是加上附加電路時(shí)頻率明顯加快,在老師的指導(dǎo)下改用第二種方法。第二種方法是先進(jìn)行16分頻,再進(jìn)行3分頻最后進(jìn)行1000分頻。16分頻的實(shí)現(xiàn)方法是用4片d觸發(fā)器進(jìn)行2分頻;三分頻是用模3計(jì)數(shù)器實(shí)現(xiàn)的;1000分頻的實(shí)現(xiàn)可以沿用以上方法中的100分頻器。電路圖如下:封裝后的電路圖如下:(二)計(jì)時(shí)器模塊1、總述:由2個(gè)模60計(jì)數(shù)器和1個(gè)模24計(jì)數(shù)器構(gòu)成秒、分、時(shí)位的計(jì)數(shù)。用6片74160構(gòu)成模10計(jì)數(shù)器,分別作為秒個(gè)位,秒十位;分個(gè)位,分十位;時(shí)個(gè)位和時(shí)十位。其中秒位和分位為模60計(jì)數(shù)器,時(shí)位為

11、模24計(jì)數(shù)器,采用異步計(jì)數(shù)的方式??傠娐穲D如下:2、秒位的實(shí)現(xiàn):當(dāng)秒個(gè)位計(jì)到9,下一個(gè)脈沖產(chǎn)生時(shí),該計(jì)數(shù)器置數(shù)端置為0,并將秒個(gè)位的進(jìn)位端的進(jìn)位脈沖進(jìn)到秒十位的使能端,秒十位隨著脈沖開始計(jì)數(shù);當(dāng)秒位計(jì)到59秒,下一個(gè)脈沖產(chǎn)生時(shí), 秒位計(jì)數(shù)器都置位為0, 并將秒十位的進(jìn)位端的進(jìn)位脈沖通過非門后,給分個(gè)位計(jì)數(shù)器一個(gè)計(jì)數(shù)脈沖,分個(gè)位隨著脈沖開始計(jì)數(shù)。電路圖如下:說明:en對應(yīng)于使能端,用于實(shí)現(xiàn)保持,cla為清零端,用于實(shí)現(xiàn)清零。3、分位的實(shí)現(xiàn):分位計(jì)數(shù)原理同秒位。4、時(shí)位的實(shí)現(xiàn):當(dāng)分位和秒位計(jì)到59分59秒,下一個(gè)脈沖到來時(shí), 秒、分計(jì)數(shù)器都置位為0, 并將分十位的進(jìn)位端的進(jìn)位脈沖通過非門后,給時(shí)個(gè)

12、位計(jì)數(shù)器一個(gè)計(jì)數(shù)脈沖,時(shí)個(gè)位隨著脈沖開始計(jì)數(shù)。當(dāng)秒位,分位和時(shí)位計(jì)數(shù)器計(jì)到23時(shí)59分59秒后全部置數(shù)0, 計(jì)時(shí)重新開始。電路圖如下:(三)校分校時(shí)電路模塊用開關(guān)來控制校分校時(shí)電路,當(dāng)開關(guān)斷開時(shí),時(shí)鐘正常計(jì)時(shí),當(dāng)開關(guān)閉合時(shí),用頻率為1hz的脈沖來控制時(shí)或分的計(jì)時(shí),從而達(dá)到快速校分校時(shí)的目的。k4是系統(tǒng)的校時(shí)開關(guān)(k4為0時(shí)正常計(jì)時(shí),k4為1時(shí)快速校時(shí));k3是系統(tǒng)的校分開關(guān)(k3為0時(shí)正常計(jì)時(shí),k3為1時(shí)快速校分)。較分較時(shí)電路的實(shí)現(xiàn)實(shí)際上是用開關(guān)控制分位和時(shí)位對正常進(jìn)位信號或者1hz的頻率進(jìn)行計(jì)數(shù),如果對1hz進(jìn)行計(jì)數(shù),就能達(dá)到較分較時(shí)的目的。這部分電路主要由數(shù)字邏輯電路實(shí)現(xiàn)。d觸發(fā)器用來去

13、抖動的,在加上之前,發(fā)現(xiàn)有時(shí)電路較分較時(shí)正常,但有時(shí)會亂跳。較分較時(shí)電路實(shí)現(xiàn)時(shí)主要要注意較分較時(shí)時(shí)不影響其他位的正常計(jì)數(shù)。電路圖如下:封裝后的電路圖如下:當(dāng)較分開關(guān)起作用時(shí)模擬波形圖:(四)保持清零的實(shí)現(xiàn)1、保持主要是控制技術(shù)電路的使能端,用開關(guān)k1控制,k1=1時(shí)正常工作,k1=0時(shí)停止計(jì)數(shù),數(shù)碼管西安市的是停止前的時(shí)間;2、清零也是控制計(jì)數(shù)器的清零端,k2=1時(shí)正常計(jì)數(shù),k2=0時(shí)清零。(五)報(bào)時(shí)電路模塊1、總述:整點(diǎn)報(bào)時(shí):蜂鳴器在59分51、53、55、57秒的時(shí)候發(fā)出低音,在59秒的時(shí)候發(fā)出高音。低音對應(yīng)頻率為500hz,高音對應(yīng)于1000hz,這部分已經(jīng)由分頻電路實(shí)現(xiàn)??傮w電路圖如下

14、:內(nèi)部電路圖:2、低音的實(shí)現(xiàn):對應(yīng)于總電路圖中上半部分。3,5,7,9用二進(jìn)制表示為,0011,0101,0111,1001,可見其q1位均為1。用卡諾圖進(jìn)行化解得: 00011110000010010110110000100100另外59對應(yīng)的二進(jìn)制碼為0101、1001,則總的實(shí)現(xiàn)方法是1a&1d&(1b or1c)&4a&4c&3a&2a&2c;(前面數(shù)字第幾片74160,如第一片是秒個(gè)位,a、b、c、d表示每片的輸出) 2、高音的實(shí)現(xiàn):對應(yīng)于總電路圖中下半部分。59分的實(shí)現(xiàn)方法不變,但59秒對應(yīng)的二進(jìn)制碼是0101、1001,則實(shí)現(xiàn)方法是4a&4c&3a&2a&2c&1d&1a ;(前

15、面數(shù)字第幾片74160,如第一片是秒個(gè)位,a、b、c、d表示每片的輸出)(六)動態(tài)顯示模塊1、總述:動態(tài)顯示電路包括兩部分:時(shí)鐘顯示、鬧鈴顯示和秒表顯示 動態(tài)顯示每個(gè)時(shí)刻只有1個(gè)數(shù)碼管在工作,各個(gè)數(shù)碼管依次輪流工作。利用模6快速掃描產(chǎn)生的地址值作為使能控制信號,由于數(shù)碼管依次顯示的頻率較高,而人眼存在視覺暫留,分辨不出數(shù)碼管是閃爍的。與此同時(shí),需要顯示的數(shù)據(jù)被送到顯示譯碼器譯碼后送入數(shù)碼管,但因?yàn)榇藭r(shí)只有一個(gè)數(shù)碼管在工作,所以工作數(shù)碼管顯示正確數(shù)據(jù)。共分位兩部分,上部分用綠框標(biāo)除的電路圖用于產(chǎn)生位碼,下邊兩部分用于產(chǎn)生段碼,總電路圖如下:2、數(shù)據(jù)選擇器的說明:24路數(shù)據(jù)選擇器由4片74151實(shí)

16、現(xiàn)的,74151是8路數(shù)據(jù)選擇器,現(xiàn)在每片只需要從6路中選一路,則最后兩路可以接高電平,給4片74151相同的輸入,就可以選擇同一片74160產(chǎn)生的信號,這樣就能產(chǎn)生基本計(jì)時(shí)電路的段碼。3、位碼的產(chǎn)生:為了實(shí)現(xiàn)動態(tài)顯示,必須輪流選通6個(gè)數(shù)碼管,這通過由74160構(gòu)成的模6計(jì)數(shù)器實(shí)現(xiàn),模6計(jì)數(shù)器產(chǎn)生的輸出給74138,譯碼產(chǎn)生位碼。模6計(jì)數(shù)器還是用置數(shù)法,0101時(shí)置數(shù),而6個(gè)數(shù)碼管的選通頻率為1000hz,這已由分頻電路實(shí)現(xiàn);4、段碼的產(chǎn)生:段碼有三種,基本電路、秒表電路以及鬧鈴電路讀會產(chǎn)生段碼,但這三種電路產(chǎn)生的段碼不同,所以要用開關(guān)控制各個(gè)時(shí)候的顯示,k5實(shí)現(xiàn)正常計(jì)數(shù)電路與鬧鈴之間的切換,

17、k8實(shí)現(xiàn)正常計(jì)數(shù)電路與秒表之間的切換。用兩片74157選擇段碼,電路圖如下:(七)鬧鈴模塊1、總述:鬧鈴模塊獨(dú)立于正常的計(jì)時(shí)模塊,這樣在鬧鈴開啟時(shí)才不會影響正常計(jì)數(shù)。基本功能為設(shè)置鬧鈴的時(shí)間,不需要進(jìn)行計(jì)數(shù)。鬧鈴模塊基本可以分為三個(gè)模塊。切換開關(guān)部分、設(shè)置時(shí)間部分以及鬧鈴顯示部分。2、切換開關(guān)部分:k5是總開關(guān),k5=1時(shí)鬧鈴被打開,用k6,k7分別設(shè)置分、時(shí)位,k6、k7為1時(shí)起作用。3、時(shí)間設(shè)置部分:4片74160分別為分的個(gè)、十位、時(shí)的個(gè)、十位,前兩片的時(shí)鐘信號由k6控制,當(dāng)有脈沖時(shí)前兩片以模60計(jì)數(shù);后兩片與前兩片類似,但構(gòu)成的是模24的計(jì)數(shù)器。7485是數(shù)據(jù)比較器,當(dāng)設(shè)定時(shí)間與計(jì)時(shí)器

18、電路相同時(shí)蜂鳴器發(fā)出聲音。4、鬧鈴顯示電路:鬧鈴要顯示的是設(shè)定的時(shí)間,需要4個(gè)數(shù)碼管顯示,則要和基本電路復(fù)用,當(dāng)k5=1時(shí)顯示鬧鈴設(shè)置的時(shí)間,k5=0時(shí)顯示的正常的計(jì)數(shù)。實(shí)現(xiàn)方法是控制基本電路和鬧鈴電路產(chǎn)生的驅(qū)動數(shù)碼管需要的段碼,將各部分產(chǎn)生的段碼引入數(shù)據(jù)選擇器,哪部分顯示由開關(guān)控制。以下電路是鬧鈴電路產(chǎn)生段碼的電路圖:鬧鈴總電路圖:(八)秒表模塊1、總述:秒表:毫秒位為100進(jìn)制,秒位和分位為60進(jìn)制,原理與計(jì)時(shí)器相同,但秒表是對1khz的頻率進(jìn)行計(jì)數(shù)。k8是秒表啟動開關(guān)(k8為1時(shí)開啟秒表并且顯示計(jì)數(shù),k8為0時(shí)關(guān)閉秒表并切換顯示正常計(jì)數(shù)電路的值);k1也是秒表電路的使能電路,當(dāng)k1=1是

19、秒表正常工作,k1=0時(shí)暫停,顯示最后的示數(shù);k2也是秒表電路的清零開關(guān),k2=0時(shí)清零,k2=1時(shí)正常工作。秒表計(jì)時(shí)電路圖如下:miaobiao對應(yīng)內(nèi)部電路圖:2、對miaobiao內(nèi)部電路圖的說明:由于分頻電路只有1000hz的頻率,第一片74160用來實(shí)現(xiàn)10分頻,產(chǎn)生100hz的頻率,作為毫秒的輸入;后兩片74160作為毫秒的個(gè)、十位;下部分兩片器件實(shí)現(xiàn)60分頻,在正常計(jì)數(shù)時(shí)也有使用;產(chǎn)生秒表的段碼也用24路數(shù)據(jù)選擇器來實(shí)現(xiàn)。四、調(diào)試下載pin_namepin_501lvttlrow i/odpclk0/dqs1lyesspin_531lvttlrow i/olvds2p/dq0l6y

20、es48minpin_281lvttldedicated clockclk0/lvdsclk1pyes1soutpin_551lvttlrow i/ovref2b1yesinputpin_1533lvttldedicated clockclk2/lvdsclk2pyesonpin_1213lvttlrow i/olvds75nyesclapin_1223lvttlrow i/olvds75pyes11pin_1603lvttlrow i/olvds58nyes22pin_1593lvttlrow i/olvds59pyes33pin_1623lvttlrow i/olvds57nyes44pi

21、n_1613lvttlrow i/olvds58pyes55pin_1363lvttlrow i/olvds70nyes66pin_1373lvttlrow i/olvds70pyesaapin_1693lvttlrow i/olvds54p/dq1r3yesbbpin_1703lvttlrow i/odpclk4/dqs0ryesccpin_1673lvttlrow i/olvds55pyesddpin_1683lvttlrow i/olvds54nyeseepin_1653lvttlrow i/olvds56pyesffpin_1663lvttlrow i/olvds55nyesggpin

22、_1633lvttlrow i/olvds57pyesjiaofenkaiguanpin_1233lvttlrow i/olvds74nyesjiaoshikaiguanpin_1243lvttlrow i/olvds74pyesfenmingpin_1753lvttlrow i/odq1r0yesnaolinkaiguanpin_1433lvttlrow i/opll2_outnyesfenjiaofenpin_1413lvttlrow i/olvds68pyesshijiaofenpin_1583lvttlrow i/olvds59n/dm1ryesnaolingpin_1563lvttl

23、row i/ovref1b3yes五、實(shí)驗(yàn)設(shè)想在本次實(shí)驗(yàn)中完成了基本功能以及兩個(gè)附加功能鬧鈴和秒表,這些在硬件上實(shí)驗(yàn)過可以實(shí)現(xiàn),但后來處于興趣,我又嘗試了另外兩個(gè)附加功能,一個(gè)是萬年歷,另一個(gè)是彩鈴,萬年歷可以實(shí)現(xiàn),但由于時(shí)間限制,很可惜彩鈴沒在實(shí)驗(yàn)室中成功,但后來幾經(jīng)xi修改,理論上可以實(shí)現(xiàn),所以在實(shí)驗(yàn)報(bào)告中還是想體現(xiàn)一下。(一)萬年歷1、總述:萬年歷可以實(shí)現(xiàn)有顯示年月日的功能,各用兩個(gè)數(shù)碼關(guān)顯示,年只顯示最后兩位,還有快速較年月日的功能。加上萬年歷的電路圖與原電路圖最大的區(qū)別是開關(guān),實(shí)現(xiàn)萬年歷時(shí)出現(xiàn)的最大障礙是開關(guān)問題,做完基本電路、鬧鈴和秒表電路后,已經(jīng)沒有開關(guān)剩下,為了加上萬年歷首先要

24、實(shí)現(xiàn)開關(guān)復(fù)用,然后才是實(shí)現(xiàn)其具體電路。總體電路圖:2、開關(guān)復(fù)用的實(shí)現(xiàn):萬年歷需要調(diào)整快速校準(zhǔn)年、月、日,還要切換數(shù)碼管顯示,則至少需要四個(gè)開關(guān)。首先要做的是將基本電路和鬧鈴電路的較分較時(shí)開關(guān)實(shí)現(xiàn)復(fù)用,然后一個(gè)k6用于切換數(shù)碼管顯示,k6=1時(shí)顯示萬年歷,k7用于較年,k7=1時(shí)實(shí)現(xiàn)較年,較日、較月與基本電路的較分較時(shí)復(fù)用,當(dāng)k6=1時(shí)較分較時(shí)開關(guān)k3、k4才能實(shí)現(xiàn)對萬年歷的較日、較年。 在原電路圖上修改得到的電路(開關(guān)復(fù)用)如下圖:3、萬年歷的實(shí)現(xiàn):解決了開關(guān)問題后這部分實(shí)現(xiàn)相對簡單,原理是對時(shí)的輸出進(jìn)行計(jì)數(shù),日的實(shí)現(xiàn)是進(jìn)行模24計(jì)數(shù),用兩片74160,由于日的范圍是1-24,則746160從

25、1到24計(jì)數(shù),到24時(shí)置數(shù),24對應(yīng)的二進(jìn)制碼是0010、0100,則置數(shù)信號rld=r3l&r2h,剛開始置入的數(shù)是0001;月有大小之分,而且與閏年也有關(guān)系,由于各方面的限制都作為30天,則只要使兩片74160從1到30進(jìn)行計(jì)數(shù);月產(chǎn)生的進(jìn)位給年就可以了。萬年歷部分電路圖:(二)彩鈴1、總述:彩鈴主要是在不同時(shí)候發(fā)出不同頻率的聲音,如do(262hz),re(294hz),mi(330hz),fa(349hz),so(392hz),la(440hz),si(494hz)。為了得到更多的頻率,在原理1khz、500hz、1hz的基礎(chǔ)上又增加了各種不同的頻率。然后做了模92,84,72,68,

26、62,54,48的分頻器,對48khz的頻率進(jìn)行分頻,再組合得到16個(gè)比較悅耳的音符連接起來作為彩鈴,每種頻率輪流響1秒鐘。彩鈴總電路圖:2、各種分頻電路的實(shí)現(xiàn):各種分頻其實(shí)只要做相應(yīng)的計(jì)數(shù)器,內(nèi)部結(jié)構(gòu)圖以及模擬波形圖:54分頻電路以及波形:92分頻電路以及波形:62分頻以及波形:68分頻以及波形:72分頻以及波形:84分頻以及波形:3、16路數(shù)據(jù)選擇器:由三片54151組成,譯碼由74160輸出,74160組成的是模16的計(jì)數(shù)器,每隔1秒計(jì)數(shù),則16路數(shù)據(jù)選擇器每隔1秒有一個(gè)輸出,實(shí)現(xiàn)了輪流選通16路輸出的功能。具體電路圖如下:4、接線說明:input是接48mhz的頻率,1hz是接前面分頻

27、電路中的1sinput,out是輸出,替換原電路中鬧鈴模塊中的500hz。彩鈴模塊封裝后的電路圖:六、實(shí)驗(yàn)感想1、實(shí)驗(yàn)過程中出現(xiàn)的問題小結(jié):此次eda設(shè)計(jì)的題目是多功能數(shù)字鐘,與上學(xué)期的電子電工綜合實(shí)驗(yàn)做的基本相同,電子電工綜合實(shí)驗(yàn)是利用芯片實(shí)際搭建電路,而這次是用程序仿真,但原理是相同的,本來以為會比較簡單,但事實(shí)并非如此,我在第一天就遇到了麻煩。我們首先要用 一個(gè)簡單的電路來熟悉使用quartus軟件,我按著老師講的以及書上寫的按部就班,電路圖沒有問題,模擬出來的波形也比較理想,但在分配好管腳后要下載到硬件上實(shí)現(xiàn)時(shí)出現(xiàn)了大問題,硬件沒有反應(yīng),仔細(xì)檢查了前面的所有步驟,發(fā)現(xiàn)沒有任何地方有問題

28、,但硬件就是沒有反應(yīng),連一盞燈都不亮,后來才發(fā)現(xiàn)是沒開硬件電源,可能由于太緊張了犯了如此低級的錯(cuò)誤。但奇怪的是上電之后還是沒有反應(yīng),錯(cuò)誤原因提示是沒法進(jìn)入硬件,這下我真的一籌莫展了,只好找老師解決,后來才知道是微機(jī)和硬件的連線有問題,只好去找實(shí)驗(yàn)室老師解決,幾經(jīng)周折,終于解決了這個(gè)問題。我遇到的第二個(gè)問題是頻率的問題,實(shí)現(xiàn)基本電路時(shí)我用的是第一種方法做的分頻電路(在分頻電路部分有詳細(xì)描述),基本沒有問題,但一旦加上鬧鈴就出現(xiàn)了很多大的問題,數(shù)碼管顯示飛快,幾乎快了兩倍,后來才得到可能是分頻電路部分產(chǎn)生了冒險(xiǎn),這是由于輸出的不同步引起的。只好用第二種方法實(shí)現(xiàn)分頻電路,也即先16分頻,然后3分頻,

29、再兩次1000分頻。第三個(gè)問題是開關(guān)復(fù)用問題。其實(shí)在做實(shí)驗(yàn)的第一天我就要求在家至少要做 除兩個(gè)附加電路,這個(gè)目標(biāo)在第三天晚上已經(jīng)基本實(shí)現(xiàn),剩下的一天我就決定做萬年歷,也許沒辦法實(shí)現(xiàn)最標(biāo)準(zhǔn)的那種,但我至少想完成部分大小月的,但遇到的最大困難是開關(guān)復(fù)用問題,我本來打算,用k1(使能開關(guān))實(shí)現(xiàn)基本電路以及秒表電路的啟停;k2(清零開關(guān))實(shí)現(xiàn)基本電路的清零;k3(較分開關(guān))實(shí)現(xiàn)基本電路的較分,鬧鈴電路的設(shè)置分位以及萬年歷的日快速校準(zhǔn);k4(較時(shí)開關(guān))實(shí)現(xiàn)基本電路的較時(shí),鬧鈴電路的設(shè)置時(shí)位以及萬年歷的月快速校準(zhǔn);k5、k8譯碼控制基本電路、鬧鈴、秒表和萬年歷的顯示;k6作為鬧鈴總開關(guān)。電路圖如下: 但邏

30、輯上是正確的,就是沒辦法實(shí)現(xiàn),甚至用了這種辦法之后基本電路顯示也出現(xiàn)了問題,所以被迫放棄。 2、實(shí)驗(yàn)感想:這次eda實(shí)驗(yàn)給我最大的體會就是興趣是最好的老師。 在eda實(shí)驗(yàn)開始的第一天上午我回到宿舍,我就對室友說這個(gè)實(shí)驗(yàn)很有意思,說quartus軟件竟然能允許我們自己造器件,每次我封裝成一個(gè)器件之后我都覺得自己小有所成。 其實(shí)由于我每天中午取消了午覺都在實(shí)驗(yàn)室并且晚上也熬到很晚為第二天做準(zhǔn)備,我的基本電路外加鬧鈴和秒表在第三天下午就基本實(shí)現(xiàn)了,但我還是覺得要做一個(gè)萬年歷。但開關(guān)復(fù)用調(diào)了一上午還是沒有實(shí)現(xiàn),甚至我中午都沒有午睡,一直在那調(diào),還是有問題,最后采用了另一個(gè)方案之后才實(shí)現(xiàn),但做好萬年歷已

31、經(jīng)是第四天下午三點(diǎn)了,大家都在驗(yàn)收了,和同組人商量之后決定先讓老師要收基本電路、鬧鈴以及秒表。但驗(yàn)收之后可能是由于不服輸?shù)男愿窕蚴瞧渌?,我還是想把萬年歷做出來,于是我就一個(gè)人在那做,直到完成才發(fā)現(xiàn)同學(xué)走得基本差不多了。5:30我離開實(shí)驗(yàn)室,但走出實(shí)驗(yàn)室的瞬間我還在想這如何做彩鈴。之后的幾天我基本都在忙著上課、準(zhǔn)備考試,但我還是沒有放棄要做彩鈴的想法,而且我覺得既然已經(jīng)選了測控這一專業(yè)方向,以后估計(jì)會遇到很多軟硬件接口的問題,所以一有時(shí)間我就開始做彩鈴,理論上覺得可以實(shí)現(xiàn),但同時(shí)明白連上硬件會有很多問題需要逐個(gè)排除,但很可惜已經(jīng)沒有機(jī)會去實(shí)驗(yàn)室調(diào)試驗(yàn)收自己的成果了。 我甚至還想過用vhdl硬件描

32、述語言進(jìn)行編程,參考書上例子編了幾個(gè)小的器件的程序,例如16選一的數(shù)據(jù)選擇器(在彩鈴模塊中要用到),具體程序如下。但后來發(fā)現(xiàn)用現(xiàn)成提供的器件實(shí)現(xiàn)更加方便,就放棄了這種方法,但至少我已經(jīng)學(xué)了一部分相關(guān)的知識,已經(jīng)入門了,將來如果要用再學(xué)也比較簡單。16選1數(shù)據(jù)選擇器的具體程序:library ieee;use ieee.std_logic_1164.all;entity mux16_1 is port ( en :in std_logic; music :in std_logic_vector(15 downto 0 ); cnt :in std_logic_vector(3 downto 0); output :out std_logic );end mux16_1;architecture behave of mux16_1 isbegin process (en,music,cnt) begin if(en=0) then output=0; elsif cnt=0000 then output= music(0); elsif cnt=0001 then o

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論