基于CPLD的簡易數(shù)字頻率計_第1頁
基于CPLD的簡易數(shù)字頻率計_第2頁
基于CPLD的簡易數(shù)字頻率計_第3頁
基于CPLD的簡易數(shù)字頻率計_第4頁
基于CPLD的簡易數(shù)字頻率計_第5頁
已閱讀5頁,還剩17頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、一設(shè)計總體思路,基本原理和框圖1.1.設(shè)計總體思路數(shù)字頻率計是數(shù)字電路中的一個典型應(yīng)用,實際的硬件設(shè)計用到的器件較多,連線比較復(fù)雜,而且會產(chǎn)生比較大的延時,造成測量誤差、可靠性差。隨著復(fù)雜可編程邏輯器件(CPLD)的廣泛應(yīng)用,以EDA工具作為開發(fā)手段,運用VHDL語言。將使整個系統(tǒng)大大簡化。提高整體的性能和可靠性。本文用VHDL在CPLD器件上實現(xiàn)一種8 b數(shù)字頻率計測頻系統(tǒng),能夠用十進(jìn)制數(shù)碼顯示被測信號的頻率,不僅能夠測量正弦波、方波和三角波等信號的頻率,而且還能對其他多種物理量進(jìn)行測量。具有體積小、可靠性高、功耗低的特點。1.2.基本原理 工作過程:脈沖發(fā)生器輸入1Hz的標(biāo)準(zhǔn)信號,經(jīng)過測頻

2、控制信號發(fā)生器2分頻后產(chǎn)生一個脈寬為1秒的時鐘信號,以此作為計數(shù)閘門信號。測量時,將被測信號通過信號整形電路,產(chǎn)生同頻率的矩形波,輸入計數(shù)器作為時鐘。當(dāng)計數(shù)閘門信號高電平有效時,計數(shù)器開始計數(shù),并將計數(shù)結(jié)果送入鎖存器中。設(shè)置鎖存器的好處是顯示的數(shù)據(jù)穩(wěn)定,不會由于周期性的清零信號而不斷閃爍。最后將鎖存的數(shù)值譯碼并在數(shù)碼管上顯示。 1.3.總體框圖清零信號待測信號計數(shù)器鎖存器譯碼信號時鐘信號分頻器片選信號譯碼器顯示器片選二單元電路設(shè)計 2.1.分頻電路模塊 本次課程設(shè)計中,我們選擇的是20分頻。分頻器在總電路中有兩個作用。由總圖框圖中不難看出分頻器有兩個輸出,一個給計數(shù)器,一個給鎖存器。時鐘信號經(jīng)

3、過分頻電路形成了20分頻后的門信號。另一個給鎖存器作鎖存信號,當(dāng)信號為低電平時就鎖存計數(shù)器中的數(shù)。 其電路圖如圖1. 圖1 分頻電路圖2.2.片選信號電路模塊 此電路也有兩用途。一是為后面的片選電路產(chǎn)生片選信號,二則是為模塊ch(譯碼信號)提供選擇脈沖信號。 其電路圖如圖2.圖2 片選信號電路圖2.3.計數(shù)器模塊 計數(shù)器模塊為該電路中的核心模塊,它的功能是:當(dāng)門信號為上升沿時,電路開始計算半個周期內(nèi)被測信號通過的周期數(shù),到下升沿后結(jié)束。然后送忘鎖存器鎖存。其電路圖如圖3.圖3 計數(shù)器電路圖2.4.鎖存器模塊 該模塊在分頻信號的下降沿到來時,將計數(shù)器的信號鎖存,然后送給編譯模塊中。 其電路圖如圖

4、4.圖4 鎖存器電路圖2.5.譯碼信號模塊 該模塊是對四個鎖存器進(jìn)行選擇,按順序的將四個鎖存器中的數(shù)值送給譯碼模塊中譯碼。其電路圖如圖5.圖5 譯碼信號電路圖2.6.片選模塊 該模塊接收到片選信號后,輸出給顯示器,選擇顯示那個顯示管。 其電路圖如圖6.圖6 片選電路圖2.7.譯碼模塊譯碼模塊的作用就是將譯碼信號模塊中選擇出的信號進(jìn)行譯碼,并將其送給顯示器。其電路圖如圖7.圖7 譯碼電路圖三設(shè)計仿真與調(diào)試 3.1分頻電路模塊的仿真 打開quartus II后,建立工程文件,然后輸入程序,保存后編譯。編譯無錯誤既可以生成電路圖。其仿真圖如圖8. 圖8 分頻電路仿真圖3.2.片選信號電路的仿真 其仿

5、真圖如圖9. 圖9 片選信號電路仿真圖3.3.計算器模塊的仿真 其仿真圖如圖10. 圖10 計算器電路仿真圖3.4.鎖存器模塊仿真 其仿真圖如圖11. 圖11 鎖存器電路仿真圖3.5.譯碼信號模塊的仿真 其仿真圖如圖12. 圖12 譯碼信號電路仿真圖3.6.片選模塊的仿真 其仿真圖如圖13. 圖13 片選電路仿真圖3.7.譯碼器模塊其仿真圖如圖14. 圖14 譯碼電路仿真圖3.8.總電路圖的仿真將七個模塊用導(dǎo)線相連,形成總電路圖。其仿真圖如圖15. 圖15 總電路仿真圖 圖15為總的電路圖,圖中alm為進(jìn)位輸出,clk為時鐘信號,設(shè)為15us。Clr為清零信號,點電平清零,高電平計數(shù)。Q為顯示

6、輸出端。Sel為片選,sig為被測信號,設(shè)為1us。圖中顯示結(jié)果為 為305.本電路為20分頻。結(jié)果應(yīng)為300,考慮延時誤差,測量結(jié)果正確。 3.9 軟件調(diào)試與硬件調(diào)試(a)軟件調(diào)試 仿真時,打開軟件puartus II,建立工程文件,然后輸入程序。保存后,編譯,無誤后及可以仿真了。 進(jìn)入界面后,先點擊edict菜單,設(shè)置 end time ,一般設(shè)為10ms,由于軟件的緣故,end time不要設(shè)的太小,否則延時會很大. 對總電路圖仿真的時候也出現(xiàn)了一個問題,一開始我們怎么也仿真不出來,后來才知道總圖仿真的時候也要建立工程文件,而且要將所有的模塊文件加載到總電路圖的文件夾中。(b)硬件調(diào)試

7、軟件仿真玩后,就的與硬件相連。在這之前的先設(shè)置好管腳。點擊“assingmengt”菜單的“pin planner”按照試驗箱中的管腳設(shè)置好,確定后編譯。關(guān)閉puartus軟件,將試驗箱接入電腦,按提示安裝。重新打開軟件,點擊菜單“tools”中的”granmmer”確定接好USB接口。將實驗箱的線接好后就可以運行,看結(jié)果與仿真是否相符.點擊“start”將清零信號置低電平,顯示器顯示1 0000的字樣。將被側(cè)信號與時鐘信號設(shè)置合理的數(shù)值,將清理信號置為高電平。顯示器中就能顯示器分頻數(shù)值。(c)調(diào)試結(jié)果 1.清零信號為低電平時候的顯示結(jié)果如圖16. 圖16 清零信號為低電平時的結(jié)果 圖中為清零

8、信號為低電平時,顯示為0000,前面的1為在這種情況下只需要一個計數(shù)器工作。2.清零信號置為高電平后的結(jié)果如下圖圖17. 圖17 清零信號為高電平時的顯示結(jié)果 圖中顯示的為將被測信號為時鐘信號的320倍的顯示結(jié)果。顯示為0320.前面的3為需要用3個計數(shù)器工作。四編程下載 4.1.分頻模塊的程序library ieee;use ieee.std_logic_1164.all;entity fen isport(clk:in std_logic; q:out std_logic);end fen;architecture fen_arc of fen isbeginprocess(clk)var

9、iable cnt:integer range 0 to 9;variable x:std_logic;beginif clkevent and clk=1 then if cnt9 then cnt:=cnt+1; else cnt:=0;x:=not x;end if;end if;q=x;end process;end fen_arc;4.2.片選信號模塊的程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sel isport(clk:in std_logic; q:out

10、std_logic_vector(2 downto 0);end sel;architecture sel_arc of sel isbeginprocess(clk)variable cnt:std_logic_vector(2 downto 0);beginif clkevent and clk=1 then cnt:=cnt+1;end if;q=cnt;end process;end sel_arc4.3.計數(shù)器模塊的程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cor

11、na isport(clr,sig,door:in std_logic; alm:out std_logic; q3,q2,q1,q0,dang:out std_logic_vector(3 downto 0);end corna;architecture corn_arc of corna isbeginprocess(door,sig)variable c3,c2,c1,c0:std_logic_vector(3 downto 0);variable x:std_logic;begin if sigevent and sig=1 then if clr=0 then alm=0;c3:=0

12、000;c2:=0000;c1:=0000;c0:=0000; elsif door=0 then c3:=0000;c2:=0000;c1:=0000;c0:=0000; elsif door=1 then if c01001 thenc0:=c0+1; elsec0:=0000;if c11001 thenc1:=c1+1;else c1:=0000;if c21001 thenc2:=c2+1;elsec2:=0000;if c31001 thenc3:=c3+1;elsec3:=0000;alm=1;end if; end if; end if; end if; end if;if c

13、3/=0000 then q3=c3;q2=c2;q1=c1;q0=c0;dang=0100; elsif c2/=0000 then q3=0000;q2=c2;q1=c1;q0=c0;dang=0011; elsif c1/=0000 thenq3=0000;q2=0000;q1=c1;q0=c0;dang=0010; else q3=0000;q2=0000;q1=0000;q0=c0;dang=0001;end if; end if;end process; end corn_arc;4.4.鎖存器模塊的程序library ieee;use ieee.std_logic_1164.al

14、l;entity lock isport(l:in std_logic; a4,a3,a2,a1,a0:in std_logic_vector(3 downto 0); q4,q3,q2,q1,q0:out std_logic_vector(3 downto 0);end lock;architecture lock_arc of lock isbegin process(l) variable t4,t3,t2,t1,t0:std_logic_vector(3 downto 0);beginif levent and l=0 thent4:=a4;t3:=a3;t2:=a2;t1:=a1;t

15、0:=a0;end if;q4=t4;q3=t3;q2=t2;q1=t1;q0qqqqqqqqqqqqqqqqqqqqqqqqqq=;end case;end process;end disp_arc;五總結(jié)與體會 經(jīng)過兩個星期的實習(xí),過程曲折可謂一語難盡。在此期間我也失落過,也曾一度熱情高漲。從開始時滿富盛激情到最后汗水背后的復(fù)雜心情,點點滴滴無不令我回味無長。 生活就是這樣,汗水預(yù)示著結(jié)果也見證著收獲。勞動是人類生存生活永恒不變的話題。通過實習(xí),我才真正領(lǐng)略到“艱苦奮斗”這一詞的真正含義,我才意識到老一輩測繪為我們的社會付出。我想說,測繪確實有些辛苦,但苦中也有樂,在如今物欲很流的世界,很

16、少有機(jī)會能與大自然親密接觸,但我們可以,而且測繪也是一個團(tuán)隊的任務(wù),一起的工作可以讓我們有說有笑,相互幫助,配合默契。 同時我認(rèn)為我們的工作是一個團(tuán)隊的工作,團(tuán)隊需要個人,個人也離不開團(tuán)隊,必須發(fā)揚團(tuán)結(jié)協(xié)作的精神。某個人的離群都可能導(dǎo)致導(dǎo)致整項工作的失敗。實習(xí)中只有一個人知道原理是遠(yuǎn)遠(yuǎn)不夠的,必須讓每個人都知道,否則一個人的錯誤,就有可能導(dǎo)致整個工作失敗。團(tuán)結(jié)協(xié)作是我們實習(xí)成功的一項非常重要的保證。而這次實習(xí)也正好鍛煉我們這一點,這也是非常寶貴的。 對我而言,知識上的收獲重要,精神上的豐收更加可喜。挫折是一份財富,經(jīng)歷是一份擁有。這次實習(xí)必將成為我人生旅途上一個非常美好的回憶! 六附錄 圖18

17、 總電路圖七.參考文獻(xiàn)1. 康華光主編.電子技術(shù)基礎(chǔ)(數(shù)字部分),高等教育出版社。2. 閻石主編. 電子技術(shù)基礎(chǔ)(數(shù)字部分),清華大學(xué)出版社。3. 陳大欽主編,電子技術(shù)基礎(chǔ)實驗,高等教育出版社。4. 彭介華主編,電子技術(shù)課程設(shè)計指導(dǎo),高等教育出版社。5. 張 原編著,可編程邏輯器件設(shè)計及應(yīng)用,機(jī)械工業(yè)出版社。6.荀殿棟,徐志軍編著,數(shù)字電路設(shè)計實用手冊,電子工業(yè)出版社。7. 劉洪喜,陸穎編著. VHDL電路設(shè)計實用教程 清華大學(xué)出版社tgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8

18、Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHU

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論