電子技術(shù)綜合訓(xùn)練課程設(shè)計(jì)報(bào)告小功率直流電機(jī)控制器設(shè)計(jì)與制作_第1頁
電子技術(shù)綜合訓(xùn)練課程設(shè)計(jì)報(bào)告小功率直流電機(jī)控制器設(shè)計(jì)與制作_第2頁
電子技術(shù)綜合訓(xùn)練課程設(shè)計(jì)報(bào)告小功率直流電機(jī)控制器設(shè)計(jì)與制作_第3頁
電子技術(shù)綜合訓(xùn)練課程設(shè)計(jì)報(bào)告小功率直流電機(jī)控制器設(shè)計(jì)與制作_第4頁
電子技術(shù)綜合訓(xùn)練課程設(shè)計(jì)報(bào)告小功率直流電機(jī)控制器設(shè)計(jì)與制作_第5頁
已閱讀5頁,還剩32頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、電子技術(shù)綜合訓(xùn)練設(shè)計(jì)報(bào)告題目:小功率直流電機(jī)控制器設(shè)計(jì)與制作 姓 名: 學(xué) 號(hào): 班 級(jí): 同組成員: 指導(dǎo)老師: 日 期: 摘要直流電機(jī)以其優(yōu)良的性能應(yīng)用于當(dāng)代社會(huì)的各行各業(yè)中,而直流電機(jī)控制器的優(yōu)劣直接影響了直流電機(jī)的使用。對(duì)于小功率直流電機(jī)控制器,應(yīng)能實(shí)現(xiàn)對(duì)電機(jī)的正反轉(zhuǎn)控制和無級(jí)調(diào)速控制。用h橋可實(shí)現(xiàn)電機(jī)的正、反轉(zhuǎn)控制。通過對(duì)多諧振蕩器的占空比調(diào)節(jié)產(chǎn)生pwm波形,用pwm方式實(shí)現(xiàn)對(duì)電機(jī)的無級(jí)調(diào)速控制。為方便使用,在控制器中增加了測(cè)速系統(tǒng)。利用光電轉(zhuǎn)換裝置將電機(jī)轉(zhuǎn)速轉(zhuǎn)換為脈沖電信號(hào),用計(jì)數(shù)器對(duì)采集到的脈沖電信號(hào)進(jìn)行計(jì)量,最后用寄存器對(duì)輸出信號(hào)鎖存并加譯碼器和數(shù)碼管進(jìn)行譯碼顯示。整個(gè)設(shè)計(jì)利用

2、multisim軟件進(jìn)行仿真測(cè)試,最后進(jìn)行電路板的焊接與調(diào)試。關(guān)鍵詞:直流電機(jī)控制器 h橋 pwm控制 轉(zhuǎn)速檢測(cè)目錄1 設(shè)計(jì)任務(wù)和要求4 1.1 設(shè)計(jì)任務(wù)4 1.2 設(shè)計(jì)要求42 系統(tǒng)設(shè)計(jì)4 2.1 系統(tǒng)要求4 2.2 方案設(shè)計(jì)4 2.3 系統(tǒng)工作原理43 單元設(shè)計(jì)5 3.1 電源模塊5 3.1.1 電路結(jié)構(gòu)及工作原理5 3.1.2 電路仿真63.1.3 元器件的選擇及參數(shù)確定6 3.2 pwm調(diào)速模塊63.2.1 電路結(jié)構(gòu)及工作原理63.2.2 電路仿真83.2.3 元器件的選擇及參數(shù)確定93.3 h橋模塊10 3.3.1電路結(jié)構(gòu)及工作原理103.3.2電路仿真11 3.3.3元器件的選擇及

3、參數(shù)確定123.4 光電轉(zhuǎn)換模塊123.4.1電路結(jié)構(gòu)及工作原理123.3.2元器件的選擇及參數(shù)確定133.5 測(cè)速模塊133.5.1 總體電路結(jié)構(gòu)及工作原理133.5.2 部分電路設(shè)計(jì)及仿真144 系統(tǒng)仿真214.1 控制器系統(tǒng)總圖及仿真214.2 測(cè)速系統(tǒng)總圖及仿真225 電路安裝、調(diào)試與測(cè)試25 5.1 電路安裝25 5.2 電路調(diào)試25 5.3 系統(tǒng)功能及性能測(cè)試255.3.1 測(cè)試方法設(shè)計(jì)255.3.2 測(cè)試結(jié)果及分析256 結(jié)論277總結(jié)、體會(huì)和建議288參考文獻(xiàn)29 附錄1 元件清單30 附錄2 部分芯片引腳圖31一、 設(shè)計(jì)任務(wù)和要求1.1 設(shè)計(jì)任務(wù) 設(shè)計(jì)并制作一個(gè)小功率直流電機(jī)

4、控制器,能夠?qū)崿F(xiàn)對(duì)小功率直流電機(jī)的控制,并能夠?qū)﹄姍C(jī)的轉(zhuǎn)速進(jìn)行測(cè)量和顯示。1.2 設(shè)計(jì)要求對(duì)于小功率直流電機(jī)控制器,要求(1) 能夠?qū)崿F(xiàn)電機(jī)的正、反轉(zhuǎn)控制;(2) 電機(jī)的轉(zhuǎn)速能夠通過pwm方式控制(3) 能夠測(cè)量并顯示電機(jī)的轉(zhuǎn)速按以上要求設(shè)計(jì)電路,繪制電路圖,對(duì)各個(gè)模塊的電路用multism進(jìn)行仿真,最后對(duì)整個(gè)電路進(jìn)行仿真,經(jīng)仿真檢驗(yàn),設(shè)計(jì)滿足要求后,利用萬用板焊接元器件,制作電路,完成調(diào)試。并對(duì)每一部分的電路進(jìn)行功能驗(yàn)證。28二、 系統(tǒng)設(shè)計(jì)2.1系統(tǒng)要求 要求系統(tǒng)能夠使小功率直流電機(jī)實(shí)現(xiàn)正、反轉(zhuǎn)控制和對(duì)直流電機(jī)的無級(jí)調(diào)速控制。并能對(duì)電機(jī)的轉(zhuǎn)速進(jìn)行準(zhǔn)確測(cè)量和顯示。整個(gè)系統(tǒng)由直流電源模塊、pwm

5、調(diào)速模塊、電機(jī)正反轉(zhuǎn)模塊和測(cè)速模塊組成。2.2 方案設(shè)計(jì)直流電源模塊可將220v 50hz 交流電通過降壓、整流、穩(wěn)壓后得到。pwm調(diào)速模塊將ne555制作成多諧振蕩器,通過改變占空比來進(jìn)行調(diào)速。利用三極管構(gòu)建h橋,控制電機(jī)的正、反轉(zhuǎn)。對(duì)于測(cè)速模塊,應(yīng)用反射二極管和接收管先將轉(zhuǎn)速這一物理量轉(zhuǎn)換為脈沖形式的電信號(hào),再應(yīng)用計(jì)數(shù)器對(duì)采集到的脈沖數(shù)進(jìn)行計(jì)量,最后進(jìn)行譯碼顯示。2.3系統(tǒng)工作原理系統(tǒng)方框圖如圖2.1電源模塊pwm調(diào)速模塊塊光電轉(zhuǎn)換模塊h橋模塊測(cè)速模塊直流電機(jī)圖2.1 系統(tǒng)方框圖工作原理:如圖2.1所示,對(duì)交流電源通過降壓、整流、穩(wěn)壓之后,得到直流電源,將直流電源用作pwm調(diào)制模塊的激勵(lì),

6、采用pwm調(diào)制原理,通過改變占空比,使pwm調(diào)制模塊的輸出電壓平均值發(fā)生改變,將pwm模塊的輸出電壓加在h橋上,這樣可實(shí)現(xiàn)對(duì)電機(jī)的控制。再在電機(jī)軸上加裝裝盤,在轉(zhuǎn)盤上打孔,利用轉(zhuǎn)盤兩側(cè)的發(fā)射二極管和接受管實(shí)現(xiàn)對(duì)信號(hào)的采集,最后譯碼輸出并顯示。三、 單元電路設(shè)計(jì)3.1 電源模塊3.1.1電路結(jié)構(gòu)及工作原理直流電源電路原理圖見圖3.1圖3.1 直流電源電路原理圖如圖3.1所示,為降壓變壓器,經(jīng)變壓器后電壓降為12v交流電,后經(jīng)單相橋式整流橋整流,變?yōu)橹绷麟?。其中電容用來防止整個(gè)電路產(chǎn)生自激振蕩,用來減少高頻信號(hào)的干擾,可減少輸出電壓的波紋和低頻信號(hào)的干擾,用于濾波。lm7812ct為穩(wěn)壓部分,確保

7、輸出電壓為12v。3.1.2電路仿真 圖3.1電路經(jīng)multism仿真后,仿正波形如圖3.2所示圖3.2 直流電源電路multism仿真波形通過圖3.2可見,電源最后的輸出電壓為12.540v,可見,電源模塊電路設(shè)計(jì)滿足要求。3.1.3 元器件的選擇及參數(shù)確定 對(duì)于交流電源選擇工頻220v交流電,變壓器選擇單相變壓器,其變比為。電容, ,且均為電解電容,,為普通電容。3.2 pwm調(diào)速模塊3.2.1電路結(jié)構(gòu)及工作原理pwm調(diào)速電路由ne555構(gòu)成ne555內(nèi)部結(jié)構(gòu)原理圖3.3 ne555引腳圖ne555工作原理5腳經(jīng)0.01uf電容接地,比較器c1和c2的比較電壓為:ur1=2/3vcc、ur

8、2=1/3vcc。當(dāng)vi12/3vcc,vi21/3vcc時(shí),比較器c1輸出低電平,比較器c2輸出高電平,基本rs觸發(fā)器置0,g3輸出高電平,放電三極管td導(dǎo)通,定時(shí)器輸出低電平。當(dāng)vi12/3vcc,vi21/3vcc時(shí),比較器c1輸出高電平,比較器c2輸出高電平,基本rs觸發(fā)器保持原狀態(tài)不變,555定時(shí)器輸出狀態(tài)保持不來。當(dāng)vi12/3vcc,vi21/3vcc時(shí),比較器c1輸出低電平,比較器c2輸出低電平,基本rs觸發(fā)器兩端都被置1,g3輸出低電平,放電三極管td截止,定時(shí)器輸出高電平。當(dāng)vi12/3vcc,vi21/3vcc時(shí),比較器c1輸出高電平,比較器c2輸出低電平,基本rs觸發(fā)器

9、置1,g3輸出低電平,放電三極管td截止,定時(shí)器輸出高電平。pwm調(diào)速電路原理圖見圖3.4圖3.4pwm調(diào)速電路原理圖如圖3.4所示,pwm調(diào)速電路由555定時(shí)器接成多諧振蕩器,通過改變占空比來改變輸出電壓的平均值,從而實(shí)現(xiàn)電機(jī)的調(diào)速控制。對(duì)于555定時(shí)器接成多諧振蕩器,其占空比為 (1)(1)式中,為555定時(shí)器rst與dis引腳間接入的總電阻值,為555定時(shí)器dis與thr引腳間接入的總電阻值,顯然要改變上述多諧振蕩器的占空比,可以通過調(diào)節(jié)滑動(dòng)變阻器的阻值來完成。另外,對(duì)于直流電機(jī)的調(diào)速,還可以用89c51單片機(jī)來實(shí)現(xiàn),或者用avr單片機(jī)實(shí)現(xiàn)直流電機(jī)的pwm調(diào)速控制,其優(yōu)點(diǎn)是可對(duì)電機(jī)轉(zhuǎn)速實(shí)

10、現(xiàn)精準(zhǔn)控制,但因其成本較高,且要求有較高的單片機(jī)編程基礎(chǔ),所以本設(shè)計(jì)未采用。有關(guān)具體實(shí)現(xiàn)方法可閱讀參考文獻(xiàn)3。3.2.2電路仿真根據(jù)(1)式,改變滑動(dòng)變阻器阻值,可改變輸出多諧振蕩器的占空比,相應(yīng)的使輸出電壓平均值發(fā)生改變。圖3.4為占空比較大時(shí)輸出電壓的multism仿真波形,圖3.5為占空比較大時(shí)輸出電壓的multism仿真波形。圖3.5 高占空比時(shí)輸出電壓的multism仿真波形圖3.6低占空比時(shí)輸出電壓的multism仿真波形通過圖3.5和圖3.6驗(yàn)證,pwm調(diào)速模塊滿足設(shè)計(jì)要求,可通過改變滑動(dòng)變阻器的阻值來改變輸出電壓的平均值。3.2.3 元器件的選擇及參數(shù)的確定 為滿足設(shè)計(jì)要求且使

11、設(shè)計(jì)成本最低,555定時(shí)器選擇ne55,滑動(dòng)變阻器選擇最大值為20的。電阻阻值為兩個(gè)10,電容容量為50和10。由(1)式計(jì)算得,該電路的占空比調(diào)節(jié)范圍為0.560.8。3.3 h橋模塊3.3.1電路結(jié)構(gòu)及工作原理對(duì)于直流電機(jī),要完成其正反轉(zhuǎn)控制,可通過改變加在電機(jī)兩端的電壓的方向來實(shí)現(xiàn),最簡(jiǎn)單的方法是通過開關(guān)去改變加在電機(jī)兩端的電壓的極性,即采用h橋,其電路如圖3.7。在仿真時(shí)電機(jī)用電阻和電感元件代替,通過電壓表的讀數(shù)來確定電機(jī)兩端電壓的極性。 圖3.7 h橋電路原理圖 圖3.5電路工作原理圖中三極管均采用pnp型三極管,所以導(dǎo)通條件為三極管基極為低電平。圖3.5中,當(dāng)開關(guān)a閉合,開關(guān)b打開

12、時(shí),三極管、導(dǎo)通,此時(shí)電流由流出,經(jīng)三極管,電機(jī),三極管回到接地處,構(gòu)成閉合回路,實(shí)現(xiàn)電機(jī)正轉(zhuǎn);當(dāng)開關(guān)a打開,開關(guān)b閉合式時(shí),三極管、導(dǎo)通,此時(shí)電流由流出,經(jīng)三極管,電機(jī),三極管回到接地處,構(gòu)成閉合回路,實(shí)現(xiàn)電機(jī)反轉(zhuǎn)。為防止開關(guān)a和b同時(shí)閉合引起短路,在實(shí)際應(yīng)用圖3.5電路時(shí),可在每個(gè)三極管兩側(cè)反并聯(lián)一個(gè)二極管,或者通過開關(guān)a和b之間的互鎖來實(shí)現(xiàn),為降低成本和制作工藝的難度,常采用開關(guān)之間的互鎖來避免短路。對(duì)于功率較大的電機(jī),可用mos管來代替三極管。3.3.2電路仿真閉合開關(guān)a,打開開關(guān)b,使電機(jī)正轉(zhuǎn),其仿真如圖3.8圖3.8 電機(jī)正轉(zhuǎn)時(shí)multism仿真圖打開開關(guān)a, 閉合開關(guān)b,使電機(jī)反

13、轉(zhuǎn),其仿真如圖3.9圖 3.9電機(jī)反轉(zhuǎn)時(shí)multism仿真圖通過圖3.9,當(dāng)閉合開關(guān)a,打開開關(guān)b時(shí),電壓表讀數(shù)為4.218v,電機(jī)正轉(zhuǎn);通過圖3.9,當(dāng)打開開關(guān)a, 閉合開關(guān)b時(shí),電壓表讀數(shù)為-4.218v,此時(shí)電機(jī)反轉(zhuǎn)。通過以上仿真,驗(yàn)證設(shè)計(jì)符合設(shè)計(jì)要求。3.3.3 元器件的選擇及參數(shù)確定圖3.7中,三極管用于開關(guān)狀態(tài),所以應(yīng)該選擇功率較大,管壓降較小的三極管,考慮各個(gè)因素后,選擇中功率三極管8550來構(gòu)成h橋。小功率直流電機(jī)選擇市場(chǎng)上最常見的12v,3w無刷直流電機(jī)。為使h橋可靠工作,在控制信號(hào)與三極管基極間串聯(lián)一電阻,一般選擇33的電阻,這樣既可以使三極管可靠導(dǎo)通,又不會(huì)使三極管管壓降

14、太高,提高了h橋的效率。3.4 光電轉(zhuǎn)換模塊3.4.1 電路結(jié)構(gòu)及工作原理電機(jī)轉(zhuǎn)速為一物理量,不能直接用于電路處理,需轉(zhuǎn)換為電信號(hào)。整個(gè)光電轉(zhuǎn)換裝置由信號(hào)采集和信號(hào)處理兩部分組成。電路結(jié)構(gòu)如圖3.10圖3.10 光電轉(zhuǎn)換原理圖工作原理圖3.10中,在電機(jī)軸上裝一圓形轉(zhuǎn)盤,在轉(zhuǎn)盤上去掉一部分(如圖3.11),相當(dāng)于圖3.10中的檔板。當(dāng)發(fā)射二極管發(fā)出紅外線,透過檔板上的孔照到接受管上,在接收管所在電路回路中將產(chǎn)生高低電平的脈沖信號(hào)。圖3.11 測(cè)速檔板示意圖3.4.2 元器件的選擇及參數(shù)確定發(fā)射管和接受管選擇額定電壓為5v,為保證發(fā)射管和接收管可靠工作,需在回路中串聯(lián)電阻起保護(hù)作用,選擇電阻阻值

15、為1可滿足要求。3.5測(cè)速模塊3.5.1總體電路結(jié)構(gòu)及工作原理1.設(shè)計(jì)思路電機(jī)轉(zhuǎn)速指單位時(shí)間內(nèi)電機(jī)轉(zhuǎn)過的圈數(shù),因此可制作一單位時(shí)鐘脈沖,再用計(jì)數(shù)器對(duì)一分鐘內(nèi)電機(jī)轉(zhuǎn)速進(jìn)行計(jì)數(shù),最后通過鎖存器進(jìn)行鎖存并加譯碼顯示輸出。整個(gè)測(cè)速模塊由秒脈沖產(chǎn)生電路,60進(jìn)制計(jì)時(shí)電路,轉(zhuǎn)速脈沖計(jì)數(shù)電路,鎖存電路,顯示電路。整個(gè)測(cè)速電路的測(cè)速范圍為0099。整體電路結(jié)構(gòu)框架圖如圖3.12被測(cè)量光電轉(zhuǎn)換模塊60進(jìn)制計(jì)時(shí)電路鎖存譯碼電路秒脈沖產(chǎn)生電路轉(zhuǎn)速脈沖計(jì)數(shù)電路顯示電路圖3.12 測(cè)速電路結(jié)構(gòu)框架圖3.5.2 部分電路設(shè)計(jì)及仿真(1)秒脈沖產(chǎn)生電路設(shè)計(jì)思路產(chǎn)生1hz脈沖,可用石英晶體或555定時(shí)器實(shí)現(xiàn)。其中,石英晶體具

16、有產(chǎn)生的脈沖準(zhǔn)確度高,制作方便等特點(diǎn),但石英晶體價(jià)格昂貴,不宜采用。用555定時(shí)器,其制作工藝簡(jiǎn)單,價(jià)格低廉,且產(chǎn)生的脈沖能滿足該設(shè)計(jì),所以采用555定時(shí)器。電路結(jié)構(gòu)及工作原理用555定時(shí)器結(jié)成的秒脈沖產(chǎn)生電路原理圖如圖3.13圖3.13 秒脈沖產(chǎn)生電路原理圖工作原理對(duì)于圖3.13所示秒脈沖產(chǎn)生電路,其產(chǎn)生的脈沖頻率計(jì)算公式為 (2)當(dāng)r=10,c=47時(shí),產(chǎn)生脈沖的頻率由(2式)計(jì)算得=1.06hz 計(jì)算值1.06hz與理論值1hz相差0.06hz,對(duì)于實(shí)際電路,該值符合設(shè)計(jì)要求。電路仿真對(duì)圖3.13電路進(jìn)行仿真,其仿真波形如圖3.14圖3.14 秒脈沖電路multism仿真波形圖通過圖3.

17、14仿真波形,在半周期內(nèi)脈沖寬度為0.52hz,脈沖周期為1.04hz,可見設(shè)計(jì)滿足要求。(2)60進(jìn)制計(jì)時(shí)電路設(shè)計(jì)思路制作60進(jìn)制計(jì)數(shù)器,當(dāng)脈沖數(shù)到59時(shí),用于產(chǎn)生輸出信號(hào)作為控制信號(hào)對(duì)鎖存譯碼電路進(jìn)行鎖存并使顯示電路顯示輸出。當(dāng)脈沖數(shù)到60時(shí),用計(jì)數(shù)器的進(jìn)位輸出信號(hào)使脈沖計(jì)數(shù)電路清零。對(duì)于60進(jìn)制計(jì)數(shù)器,可用若干與非門和兩片74ls160或兩片74ls161以串行連接方式來實(shí)現(xiàn),但考慮到74ls160價(jià)格比較昂貴,這樣使得整個(gè)電路的設(shè)計(jì)成本變高,因此選擇使用74ls161芯片。對(duì)于60進(jìn)制計(jì)數(shù)器,可采用大模分解法來完成,,所以可將低位的74ls161連成十進(jìn)制的計(jì)數(shù)器,高位的74ls161

18、連成6進(jìn)制的計(jì)數(shù)器,最后用串行連接方式連接起來。電路結(jié)構(gòu)及工作原理用74ls161連接的60進(jìn)制計(jì)數(shù)器電路原理圖如圖3.15圖3.15 60進(jìn)制計(jì)數(shù)器電路原理圖如圖3.13,兩片74ls161均采用異步清零法來實(shí)現(xiàn)相應(yīng)進(jìn)制計(jì)數(shù)器的制作。電路仿真 用multism對(duì)圖3.13電路進(jìn)行仿真,仿真結(jié)果如圖3.16。圖3.16 60進(jìn)制計(jì)時(shí)電路multism仿真圖通過圖3.16,設(shè)計(jì)的計(jì)數(shù)器顯示的計(jì)數(shù)范圍為0059,總共60種狀態(tài),所以設(shè)計(jì)符合要求,設(shè)計(jì)成功。(4) 轉(zhuǎn)速脈沖計(jì)數(shù)電路 設(shè)計(jì)思路電機(jī)每轉(zhuǎn)過一圈,光電轉(zhuǎn)換裝置采集到的脈沖改變一次,通過計(jì)數(shù)脈沖個(gè)數(shù),可間接反應(yīng)電機(jī)轉(zhuǎn)速。因此需要一計(jì)數(shù)器對(duì)采集

19、到的脈沖數(shù)進(jìn)行計(jì)數(shù)。由于測(cè)速范圍為0099,所以可用一個(gè)100進(jìn)制計(jì)數(shù)器進(jìn)行脈沖數(shù)的計(jì)數(shù)。100進(jìn)制計(jì)數(shù)器可由兩片74ls161來完成。電路結(jié)構(gòu)及工作原理用74ls161連接的100進(jìn)制計(jì)數(shù)器電路原理圖如圖3.17圖3.17轉(zhuǎn)速脈沖計(jì)數(shù)電路原理圖圖3.15用異步清零法將兩片74ls161用與非門分別結(jié)成10進(jìn)制計(jì)數(shù)器,最后用串行連接方式對(duì)兩片計(jì)數(shù)器進(jìn)行連接。電路仿真用multism對(duì)圖3.16電路進(jìn)行仿真,仿真結(jié)果如圖3.17。圖3.17 轉(zhuǎn)速脈沖計(jì)數(shù)電路multism仿真通過圖3.17,當(dāng)60進(jìn)制計(jì)時(shí)電路計(jì)時(shí)到60s(即上圖顯示59)時(shí),轉(zhuǎn)速脈沖計(jì)數(shù)電路記數(shù)的脈沖個(gè)數(shù)為24,滿足設(shè)計(jì)要求,所

20、以設(shè)計(jì)合理。(5) 鎖存電路設(shè)計(jì)思路為使計(jì)數(shù)所得脈沖數(shù)能夠顯示,需對(duì)脈沖數(shù)進(jìn)行鎖存。常見的鎖存電路有觸發(fā)器和74ls194芯片。若采用觸發(fā)器,會(huì)使電路結(jié)構(gòu)比較復(fù)雜,不易制作,因此采用74ls194芯片對(duì)電路進(jìn)行鎖存。電路結(jié)構(gòu)及工作原理用兩片74ls194對(duì)轉(zhuǎn)速脈沖計(jì)數(shù)電路輸出信號(hào)進(jìn)行鎖存,每59s鎖存一次,電路原理圖如圖3.18。圖3.18 鎖存電路原理圖電路仿真圖3.18multism仿真圖如圖3.19所示圖3.19 鎖存電路multism仿真圖經(jīng)multism仿真,鎖存電路滿足要求,在60s內(nèi)能夠?qū)γ}沖計(jì)數(shù)電路計(jì)數(shù)所得的脈沖數(shù)進(jìn)行鎖存,所以設(shè)計(jì)合理。(6) 譯碼顯示輸出電路為使脈沖數(shù)能夠譯

21、碼顯示輸出,需對(duì)輸出信號(hào)進(jìn)行譯碼,再通過數(shù)碼顯示管顯示輸出。譯碼顯示電路原理圖如圖3.20圖3.20 譯碼顯示電路原理圖譯碼顯示電路由4511芯片譯碼產(chǎn)生,最后加七段數(shù)碼顯示管進(jìn)行數(shù)碼顯示。由圖3.20仿真,電路設(shè)計(jì)滿足要求。四 系統(tǒng)仿真4.1控制器系統(tǒng)總圖及仿真將直流電源模塊,pwm調(diào)速模塊,h橋模塊按順序級(jí)聯(lián),控制器系統(tǒng)原理圖如圖4.1。圖4.1 控制系統(tǒng)原理圖4.2 測(cè)速系統(tǒng)總圖及仿真將秒脈沖產(chǎn)生電路,60進(jìn)制計(jì)時(shí)電路,轉(zhuǎn)速脈沖計(jì)數(shù)電路,鎖存電路,顯示電路按順序進(jìn)行級(jí)聯(lián),測(cè)速系統(tǒng)電路原理圖如圖4.2圖4.2 測(cè)速系統(tǒng)總圖測(cè)速系統(tǒng)仿真如圖4.3圖4.3 測(cè)速系統(tǒng)總圖仿真通過圖4.3可驗(yàn)證整

22、個(gè)測(cè)速系統(tǒng)滿足要求,在60s內(nèi)轉(zhuǎn)速對(duì)應(yīng)的數(shù)碼顯示管顯示的為輸入信號(hào)的脈沖數(shù),所以設(shè)計(jì)合理。五、 電路安裝、調(diào)試與測(cè)試5.1 電路安裝電路安裝前應(yīng)先進(jìn)行整體布線,確保進(jìn)行電路板焊接時(shí),使導(dǎo)線盡可能不要相交且應(yīng)使應(yīng)用的導(dǎo)線盡可能短。布線完成后,先進(jìn)行單元電路的焊接,焊接完成后,經(jīng)檢驗(yàn)無誤后,再進(jìn)行單元電路的連接。5.2 電路調(diào)試先進(jìn)行單元電路的調(diào)試,借助示波器和萬用表分別測(cè)試每一個(gè)單元的電路,當(dāng)測(cè)試時(shí)所測(cè)波形與仿真波形中每個(gè)部分的波形圖吻合時(shí),說明電路連接正確,滿足要求,最后對(duì)系統(tǒng)電路進(jìn)行統(tǒng)一調(diào)試,當(dāng)滿足設(shè)計(jì)要求時(shí),說明整個(gè)系統(tǒng)的設(shè)計(jì)符合設(shè)計(jì)要求。5.3 系統(tǒng)功能及性能測(cè)試 5.3.1測(cè)試方法設(shè)計(jì)

23、 按照系統(tǒng)設(shè)計(jì)要求,應(yīng)分別測(cè)試系統(tǒng)的各項(xiàng)性能指標(biāo),需測(cè)試的性能指標(biāo)包括,電機(jī)正反轉(zhuǎn),電機(jī)的無級(jí)調(diào)速控制,電機(jī)的速度準(zhǔn)確顯示。電機(jī)轉(zhuǎn)向可通過連在轉(zhuǎn)軸上的轉(zhuǎn)盤的轉(zhuǎn)動(dòng)方向來判斷。電機(jī)的轉(zhuǎn)速可以借助秒表去測(cè)量,當(dāng)測(cè)量的轉(zhuǎn)速與電路顯示的轉(zhuǎn)速一致時(shí),說明測(cè)速系統(tǒng)的精確度較高。當(dāng)以上各項(xiàng)性能指標(biāo)均滿足要求時(shí),說明系統(tǒng)設(shè)計(jì)合理。5.3.2測(cè)試結(jié)果及分析直流電機(jī)控制器部分,通過改變電位器接入電路中的阻值,可實(shí)現(xiàn)電機(jī)轉(zhuǎn)速的pwm方式控制,經(jīng)過實(shí)測(cè),電機(jī)轉(zhuǎn)速為56120,說明了pwm方式控制電機(jī)的方法可行性較強(qiáng)。通過正反轉(zhuǎn)按鈕可實(shí)現(xiàn)電機(jī)正反轉(zhuǎn)轉(zhuǎn)動(dòng),且具有操作簡(jiǎn)單,動(dòng)作速度快等優(yōu)點(diǎn)。測(cè)速部分由于設(shè)計(jì)時(shí),設(shè)計(jì)的測(cè)速范圍

24、為0099之間,當(dāng)電機(jī)的轉(zhuǎn)速超過99時(shí),顯示部分不能較好地顯示,而要增加數(shù)碼管的顯示范圍,會(huì)使電路的連接變得相當(dāng)復(fù)雜,且存在競(jìng)爭(zhēng)-冒險(xiǎn)現(xiàn)象,使各個(gè)顯示部分不能較好地同步。因此用時(shí)序邏輯電路實(shí)現(xiàn)轉(zhuǎn)速大于100的電機(jī),其效果不是很好。為使顯示性能更好,應(yīng)借助于單片機(jī)去實(shí)現(xiàn),這需要隨著以后專業(yè)課程的學(xué)習(xí)去進(jìn)一步完善,所以,測(cè)速部分的設(shè)計(jì)不是很成功。六、 結(jié)論對(duì)于小功率直流電機(jī)控制器的設(shè)計(jì),應(yīng)用pwm控制方式可非常容易地實(shí)現(xiàn)電機(jī)的無級(jí)調(diào)速控制,其具有操作簡(jiǎn)單,可行性高,經(jīng)濟(jì)性能優(yōu)越等特點(diǎn)。h橋可方便地完成電機(jī)的正反轉(zhuǎn)控制,其經(jīng)濟(jì)成本比較低,但h橋控制電機(jī)正反轉(zhuǎn)具有局限性,只能用于小功率直流電機(jī),對(duì)于大

25、功率直流電機(jī)或有刷電機(jī)不宜采用。一方面由于大功率直流電機(jī)回路中電流較大,用h橋控制可能出現(xiàn)火花或產(chǎn)生火災(zāi),即電路可靠性不高;另一方面,對(duì)于有刷電機(jī),存在換向電流,會(huì)發(fā)生電源短路現(xiàn)象。因此不宜采用,應(yīng)該借助單片機(jī)或其他器件,如電力mosfet等實(shí)現(xiàn)。對(duì)于直流電機(jī)測(cè)速系統(tǒng),能準(zhǔn)確測(cè)量低速電機(jī)的轉(zhuǎn)速,但對(duì)于轉(zhuǎn)速較高的電機(jī),因存在光電轉(zhuǎn)換延時(shí)誤差,且測(cè)速裝置的測(cè)速范圍不易做到很大,所以對(duì)于高速旋轉(zhuǎn)的電機(jī)不宜采用。應(yīng)借助傳感器和單片機(jī)實(shí)現(xiàn)高速電機(jī)的速度測(cè)試。本次設(shè)計(jì)還有許多部分做的不是很完整,所以還需進(jìn)一步學(xué)習(xí)相關(guān)專業(yè)的知識(shí),使設(shè)計(jì)進(jìn)一步完善,最終達(dá)到設(shè)計(jì)要求。八、 總結(jié)、體會(huì)和建議(七)設(shè)計(jì)總結(jié):(1)設(shè)計(jì)中遇到的問題及解決辦法1.在做定時(shí)模塊電路時(shí),555多諧振蕩器,電阻的調(diào)節(jié),

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論