電子技術(shù)(第2版)課件第9章時(shí)序邏輯電路-修改_第1頁
電子技術(shù)(第2版)課件第9章時(shí)序邏輯電路-修改_第2頁
電子技術(shù)(第2版)課件第9章時(shí)序邏輯電路-修改_第3頁
電子技術(shù)(第2版)課件第9章時(shí)序邏輯電路-修改_第4頁
電子技術(shù)(第2版)課件第9章時(shí)序邏輯電路-修改_第5頁
已閱讀5頁,還剩53頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、電子技術(shù)(第電子技術(shù)(第2 2版)版)課件第課件第9 9章時(shí)序邏章時(shí)序邏輯電路輯電路 9.1 概述概述 9.2 計(jì)數(shù)器計(jì)數(shù)器 9.3 寄存器寄存器 9.4 實(shí)驗(yàn)實(shí)驗(yàn)1 寄存器的功能測(cè)試寄存器的功能測(cè)試 9.5 實(shí)驗(yàn)實(shí)驗(yàn)2 計(jì)數(shù)器的功能測(cè)試計(jì)數(shù)器的功能測(cè)試 9.6 綜合實(shí)訓(xùn)綜合實(shí)訓(xùn) 搶答器的分析與設(shè)計(jì)搶答器的分析與設(shè)計(jì) 9.7 數(shù)字電路原理圖的識(shí)圖數(shù)字電路原理圖的識(shí)圖 9.8 本章小結(jié)本章小結(jié) 9.9 習(xí)題習(xí)題本章大綱本章大綱表9-1X=0時(shí)的狀態(tài)表 現(xiàn) 態(tài)次 態(tài)輸 出Z0 00 11 00 11 00 00011Qn0Qn11Qn10Qn1Q Q0000110/0/0/16.2.3 X=0時(shí)的

2、狀態(tài)圖 圖9-3 X=0時(shí)的狀態(tài)轉(zhuǎn)換圖 當(dāng)X=1時(shí), 輸出方程簡(jiǎn)化為: ; 觸發(fā)器的次態(tài)方程簡(jiǎn)化為: , 計(jì)算可得電路的狀態(tài)轉(zhuǎn)換表如表9-2所示,狀態(tài)圖如圖9-4所示。10nnZQ Q1010nnnQQ Q1101nnnQQ Q表9-2X=1時(shí)的狀態(tài)表 現(xiàn) 態(tài)次 態(tài)輸 出Y0 01 00 11 00 10 01001Qn11Qn11Qn10QnQ/06.2.4 X=1時(shí)的狀態(tài)圖/0/101110000Q圖9-4 X=1時(shí)的狀態(tài)轉(zhuǎn)換圖(5)畫電路的時(shí)序波形圖,如圖9-5所示。圖9-5 電路的時(shí)序波形圖(6)分析邏輯功能 該電路一共有3個(gè)狀態(tài)00、01、10。當(dāng)X=0時(shí),按照加1規(guī)律從000110

3、00循環(huán)變化,并每當(dāng)轉(zhuǎn)換為10狀態(tài)(最大數(shù))時(shí),輸出Z=1。當(dāng)X=1時(shí),按照減1規(guī)律從10010010循環(huán)變化,并每當(dāng)轉(zhuǎn)換為00狀態(tài)(最小數(shù))時(shí),輸出Z=1。所以該電路是一個(gè)可控的3進(jìn)制計(jì)數(shù)器,當(dāng)X=0時(shí),作加法計(jì)數(shù),Z是進(jìn)位信號(hào);當(dāng)X=1時(shí),作減法計(jì)數(shù),Z是借位信號(hào)。圖9-5 電路的時(shí)序波形圖 異步時(shí)序邏輯電路的分析與同步時(shí)序邏輯電路分析方法基本相同,但應(yīng)注意兩個(gè)特點(diǎn): 異步時(shí)序邏輯電路中沒有統(tǒng)一的時(shí)鐘脈沖,因此,分析時(shí)必須寫出時(shí)鐘方程; 需要分析有效狀態(tài)、偏離狀態(tài)以及自啟動(dòng)特性。 計(jì)數(shù)器在數(shù)字系統(tǒng)中應(yīng)用十分廣泛,不僅能統(tǒng)計(jì)輸入脈沖的個(gè)數(shù),還可以用作分頻、定時(shí)、產(chǎn)生節(jié)拍脈沖等。 計(jì)數(shù)器按計(jì)數(shù)

4、進(jìn)制可分為二進(jìn)制計(jì)數(shù)器和非二進(jìn)制計(jì)數(shù)器,其中非二進(jìn)制計(jì)數(shù)器中最典型的是十進(jìn)制計(jì)數(shù)器;按數(shù)字的增減趨勢(shì)可分為加法計(jì)數(shù)器、減法計(jì)數(shù)器和可逆計(jì)數(shù)器;按計(jì)數(shù)器中觸發(fā)器翻轉(zhuǎn)是否與計(jì)數(shù)脈沖同步可分為同步計(jì)數(shù)器和異步計(jì)數(shù)器。9.2 計(jì)數(shù)器計(jì)數(shù)器 9.2.1 二進(jìn)制計(jì)數(shù)器 按照二進(jìn)制數(shù)的順序進(jìn)行計(jì)數(shù)的計(jì)數(shù)器稱為二進(jìn)制計(jì)數(shù)器。二進(jìn)制計(jì)數(shù)器由n位觸發(fā)器組成,其計(jì)數(shù)模數(shù)為2n,計(jì)數(shù)的范圍為02n -1。1二進(jìn)制異步加法計(jì)數(shù)器圖9-6 由JK觸發(fā)器構(gòu)成的4位異步二進(jìn)制加法計(jì)數(shù)器圖9-7 時(shí)序波形圖2二進(jìn)制異步減法計(jì)數(shù)器 圖9-8 D觸發(fā)器組成的4位異步二進(jìn)制減法計(jì)數(shù)器的邏輯圖3二進(jìn)制同步加法計(jì)數(shù)器 圖9-9 4位同步

5、二進(jìn)制加法計(jì)數(shù)器的邏輯圖4二進(jìn)制同步減法計(jì)數(shù)器 4位二進(jìn)制同步減法計(jì)數(shù)器的翻轉(zhuǎn)規(guī)律與4位二進(jìn)制同步加法計(jì)數(shù)器相似,只要將圖9-9所示電路的各觸發(fā)器的驅(qū)動(dòng)方程進(jìn)行修改。5二進(jìn)制同步可逆計(jì)數(shù)器圖9-10 4位二進(jìn)制同步可逆計(jì)數(shù)器的邏輯圖 9.2.2 集成二進(jìn)制計(jì)數(shù)器 1集成二進(jìn)制計(jì)數(shù)器芯片介紹(1)4位二進(jìn)制同步加法計(jì)數(shù)器74161 圖9-11為74161的內(nèi)部電路原理結(jié)構(gòu),圖9-12為其引腳圖,功能表見表9-4。 圖9-11 74161的內(nèi)部電路原理結(jié)構(gòu)圖9-12 74161的引腳圖表9-474161的功能表清 零預(yù) 置使 能時(shí) 鐘預(yù)置數(shù)據(jù)輸入輸 出工 作 模 式RDLDEP ETCPD3 D2

6、 D1 D0Q3 Q2 Q1 Q0011110111 0 01 1 d3 d2 d1 d0 0 0 0 0d3 d2 d1 d0保持保持計(jì)數(shù)異步清零同步置數(shù)數(shù)據(jù)保持?jǐn)?shù)據(jù)保持加法計(jì)數(shù)(2)4位二進(jìn)制同步可逆計(jì)數(shù)器74191圖9-13 74191的引腳圖2集成計(jì)數(shù)器的應(yīng)用(1)計(jì)數(shù)器的級(jí)聯(lián)表9-574191的功能表預(yù) 置使 能加/減控制時(shí) 鐘預(yù)置數(shù)據(jù)輸入輸 出工 作 模 式LDEN D/CPD3 D2 D1 D0Q3 Q2 Q1 Q0011110001d3 d2 d1 d0 d3 d2 d1 d0保持加法計(jì)數(shù)減法計(jì)數(shù)異步置數(shù)數(shù)據(jù)保持加法計(jì)數(shù)減法計(jì)數(shù)U圖9-14 74161同步級(jí)聯(lián)組成8位二進(jìn)制同步

7、加法計(jì)數(shù)器(2)組成分頻器 圖9-15 74191異步級(jí)聯(lián)組成8位二進(jìn)制可逆計(jì)數(shù)器D13DD3DCPQ Q00RCO74161(4)L21ETQDQR2DEP1RRCO0CP0D3DDD1QQETQ3DQEPL1D1274161(3)2QCP332Q1EP74161(2)D0D2DQD10QDRETLRCOD3RQ1DQDCP0EPD1L2D1RCO3ETDQD074161(1)2Q=32768Hz111111ff=1Hz圖9-16 74161組成的分頻器的邏輯電路 十進(jìn)制計(jì)數(shù)器:十進(jìn)制計(jì)數(shù)器: 寄存器中用的記憶部件是觸發(fā)器,每個(gè)觸發(fā)器只能存1位二進(jìn)制碼。 根據(jù)作用的不同,寄存器可分為移位寄存

8、器和數(shù)碼寄存器。數(shù)碼寄存器是指存儲(chǔ)二進(jìn)制數(shù)碼的時(shí)序電路組件,它具有接收和寄存二進(jìn)制數(shù)碼的邏輯功能。集成觸發(fā)器就是可以存儲(chǔ)1位二進(jìn)制數(shù)的寄存器。用n個(gè)觸發(fā)器就可以存儲(chǔ)n位二進(jìn)制數(shù)。 根據(jù)接收數(shù)碼的方式不同,寄存器可分為單拍式和雙拍式。單拍式是接收數(shù)據(jù)后直接把觸發(fā)器置為相應(yīng)的數(shù)據(jù),不考慮初態(tài)。雙拍式是在接收數(shù)據(jù)之前,先用復(fù)“0”脈沖把所有的觸發(fā)器恢復(fù)為“0”,第二拍把觸發(fā)器置為接收的數(shù)據(jù)。9.3 寄存器寄存器 9.3.1 移位寄存器 移位寄存器是數(shù)字系統(tǒng)和計(jì)算機(jī)中應(yīng)用很廣泛的基本邏輯部件,具有數(shù)碼寄存和移位兩種功能。在移位脈沖的作用下,數(shù)碼向左移一位,則稱為左移,反之則稱為右移。移位寄存器有單向移

9、位寄存器和雙向移位寄存器兩種,可以用D或JK觸發(fā)器組成。1單向移位寄存器(1)右移寄存器 圖9-17 D觸發(fā)器組成的4位右移寄存器 表9-6右移寄存器的狀態(tài)表移 位 脈 沖輸 入 數(shù) 碼輸 出CPDQ0 Q1 Q2 Q301234111010 0 0 01 0 0 01 1 0 00 1 1 01 0 1 1(2)左移寄存器D觸發(fā)器構(gòu)成的4位左移寄存器如圖9-18所示。圖9-18 D觸發(fā)器構(gòu)成的4位左移寄存器 2.雙向移位寄存器圖9-19 D觸發(fā)器組成的4位雙向移位寄存器 9.3.2 集成移位寄存器 14位集成數(shù)碼寄存器74LSl75 圖9-20 4位集成寄存器74LSl75引腳圖 表9-77

10、4LS175的功能表清 零時(shí) 鐘輸 入輸 出工 作 模 式RDCPD0 D1 D2 D3Q0 Q1 Q2 Q3 011110 D0 D1 D2 D3 0 0 0 0D0 D1 D2 D3保持保持異步清零數(shù)碼寄存數(shù)據(jù)保持?jǐn)?shù)據(jù)保持 24位集成移位寄存器74194 圖9-21 集成移位寄存器74194的引腳圖 3集成移位寄存器的應(yīng)用 圖9-22 用兩片74LS194接成8位雙向移位寄存器數(shù)碼寄存器:數(shù)碼寄存器:9.4 實(shí)驗(yàn)實(shí)驗(yàn)1 寄存器的功能測(cè)試寄存器的功能測(cè)試 一一 實(shí)驗(yàn)?zāi)康膶?shí)驗(yàn)?zāi)康?.了解移位寄存器的邏輯功能及常用的集成移位寄存器;2.掌握移位寄存器的應(yīng)用方法。二 實(shí)驗(yàn)器材數(shù)字邏輯實(shí)驗(yàn)箱,雙蹤示

11、波器,數(shù)字萬用表,元器件:74LS194、74LS00,導(dǎo)線若干 1. 功能測(cè)試 按圖9-23連接電路,并按下表9-9改變0/1開關(guān)邏輯值,記錄輸出邏輯值。表中CP=0表示不按A/B按鈕,CP=1表示0/1開關(guān)設(shè)定后按A/B按鈕。三 實(shí)驗(yàn)步驟圖9-23 移位寄存器的實(shí)驗(yàn)線路2.構(gòu)成環(huán)形計(jì)數(shù)器 圖9-23中, =1,D3D2D1D0=0001,Q3與DSL相連。預(yù)置寄存器狀態(tài)為0001后,使S1S0=10,按動(dòng)A/B按鍵,觀察寄存器狀態(tài)變化,并記錄,分析其實(shí)現(xiàn)的功能。三 實(shí)驗(yàn)步驟DR2.構(gòu)成環(huán)形計(jì)數(shù)器 圖9-23中, =1,D3D2D1D0=0001,Q3與DSL相連。預(yù)置寄存器狀態(tài)為0001后

12、,使S1S0=10,按動(dòng)A/B按鍵,觀察寄存器狀態(tài)變化,并記錄,分析其實(shí)現(xiàn)的功能。三 實(shí)驗(yàn)步驟DR三 實(shí)驗(yàn)步驟表9-9移位寄存器的實(shí)驗(yàn)表S1 S0DSL DSRCPQ3 Q2 Q1 Q011 11 1101 11 1011 11 0011 11 0111 01 0011 01 0111 00 1110 10 1010 10 1110 11 0110 01 0010 01 0101 01 00DR四 預(yù)習(xí)與思考 1.在送數(shù)后,若要使輸出端改成另外的數(shù)碼,是否一定要使寄存器清零?2.使寄存器清零,除采用輸入低電平外,可否采用左移的方法?1.74LS194功能測(cè)試結(jié)論;2.總結(jié)移位寄存器邏輯功能,畫

13、出波形圖。五 實(shí)驗(yàn)報(bào)告9.5 實(shí)驗(yàn)實(shí)驗(yàn)2 計(jì)數(shù)器的功能測(cè)試計(jì)數(shù)器的功能測(cè)試 一一 實(shí)驗(yàn)?zāi)康膶?shí)驗(yàn)?zāi)康?. 熟悉集成計(jì)數(shù)器邏輯功能和各控制端作用;2.掌握計(jì)數(shù)器的使用方法。二 實(shí)驗(yàn)器材雙蹤示波器、數(shù)字邏輯實(shí)驗(yàn)箱、74LS161。1.計(jì)數(shù)器功能測(cè)試 按圖9-24連接電路。使0/1開關(guān)全部為“1”,按動(dòng)A/B開關(guān),觀察LED顯示狀態(tài),并作記錄; 在輸出狀態(tài)非全“1”情況下,LD端所接0/1開關(guān)變?yōu)椤?”,觀察LED顯示狀態(tài),按動(dòng)A/B開關(guān)后,再觀察LED顯示狀態(tài);改變預(yù)置數(shù)再觀察按動(dòng)A/B開關(guān)前后LED顯示狀態(tài); 將RD端所接0/1開關(guān)變?yōu)椤?”,觀察LED顯示狀態(tài); 使0/1開關(guān)全部為“1”,使能端

14、(ET、EP)接低電平,按動(dòng)A/B開關(guān),觀察能否實(shí)現(xiàn)計(jì)數(shù)。2.按圖9-25連接電路,CP接A/B開關(guān),觀察計(jì)數(shù)狀態(tài)的變化過程,并記錄該狀態(tài)循環(huán)。三 實(shí)驗(yàn)內(nèi)容及步驟三 實(shí)驗(yàn)內(nèi)容及步驟 圖9-24 計(jì)數(shù)器功能測(cè)試 圖9-25 計(jì)數(shù)狀態(tài)的變化三 實(shí)驗(yàn)內(nèi)容及步驟 3.按圖9-26接線,測(cè)試該電路實(shí)現(xiàn)的邏輯功能。圖9-26 測(cè)試電路四 預(yù)習(xí)與思考 1.熟悉芯片各引腳排列;2.復(fù)習(xí)構(gòu)成模長M進(jìn)制計(jì)數(shù)器的原理;3.實(shí)驗(yàn)前設(shè)計(jì)好實(shí)驗(yàn)所用電路,畫出實(shí)驗(yàn)用的接線圖。1.給出74LS161功能測(cè)試結(jié)論;2.給出圖9-25、圖9-26電路實(shí)現(xiàn)的模長;3.總結(jié)測(cè)試過程中出現(xiàn)的問題及解決辦法。五 實(shí)驗(yàn)報(bào)告9.6 綜合實(shí)訓(xùn)

15、綜合實(shí)訓(xùn) 搶答器的分析與設(shè)計(jì)搶答器的分析與設(shè)計(jì) 一一 實(shí)訓(xùn)目的實(shí)訓(xùn)目的1.熟悉數(shù)字系統(tǒng)設(shè)計(jì)的一般方法;2.掌握數(shù)字搶答器的設(shè)計(jì);3.熟悉元器件及邏輯部件的應(yīng)用。視頻:搶答器的分析與設(shè)計(jì)視頻:搶答器的分析與設(shè)計(jì)二 設(shè)計(jì)要求 設(shè)計(jì)一個(gè)多路智力競(jìng)賽搶答器,可同時(shí)供8名選手參加比賽,并具有定時(shí)搶答功能。(1)可供8名選手進(jìn)行搶答,每人1個(gè)按鈕;(2)開始搶答后,除第一搶答者的按鈕外,其他搶答按鈕不起作用。(3)設(shè)置一個(gè)主持人操作的開關(guān),有“復(fù)位”和“開始”功能,“復(fù)位”時(shí)不能搶答;(4)主持人置開關(guān)為“開始”后,開始搶答,第一信號(hào)鑒別鎖存電路得到信號(hào)后,該參賽者對(duì)應(yīng)的指示燈亮,并用數(shù)碼管顯示搶答者的編

16、號(hào);(5)設(shè)置定時(shí)電路,開始搶答后,9秒內(nèi)未搶答,自動(dòng)鎖定搶答器,如9秒內(nèi)有人搶答,則鎖定計(jì)數(shù)值。三 實(shí)訓(xùn)器材示波器,萬用表,函數(shù)發(fā)生器,元器件:74LS148、74LS192、74LS00、74LS121、共陰極數(shù)碼管,導(dǎo)線若干。1.搶答器電路 該電路完成兩個(gè)功能: 分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號(hào),同時(shí)譯碼顯示電路顯示編號(hào); 禁止其他選手按鍵操作。 利用8線3線優(yōu)先編碼器47LS148實(shí)現(xiàn)。2.定時(shí)電路 由主持人設(shè)定一次搶答的時(shí)間,通過預(yù)置時(shí)間電路對(duì)計(jì)數(shù)器進(jìn)行預(yù)置,計(jì)數(shù)器的時(shí)鐘脈沖由秒脈沖電路提供。 可預(yù)置時(shí)間的電路選用十進(jìn)制同步加減計(jì)數(shù)器74LS192進(jìn)行設(shè)計(jì)。3.時(shí)序控制電

17、路時(shí)序控制電路是搶答器設(shè)計(jì)的關(guān)鍵,它要完成以下三項(xiàng)功能:四 設(shè)計(jì)原理 主持人將控制開關(guān)撥到“開始”位置時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路進(jìn)入正常搶答工作狀態(tài); 當(dāng)參賽選手按動(dòng)搶答鍵時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路停止工作; 當(dāng)設(shè)定的搶答時(shí)間到,無人搶答時(shí),揚(yáng)聲器發(fā)聲,同時(shí)搶答電路和定時(shí)電路停止工作。 搶答器的原理圖如圖9-27所示。四 設(shè)計(jì)原理 四 設(shè)計(jì)原理 搶 答 按 鈕 主 持 人 開 關(guān) 控 制 電 路 第一信號(hào)鑒別電路 搶答者指 示燈電路 搶答者 顯示 音響電路 計(jì)時(shí)電路 時(shí)間顯示電路 S 0 S 7 Start 搶答者 編碼 搶答者 譯碼 圖9-27 搶答器的原理圖1.設(shè)計(jì)定時(shí)搶答

18、器的整機(jī)邏輯電路圖;畫出定時(shí)搶答器的所有電路原理圖和整機(jī)PCB圖;2.組裝調(diào)試搶答器電路;3.設(shè)計(jì)可預(yù)置時(shí)間的定時(shí)電路,并進(jìn)行組裝和調(diào)試:當(dāng)輸入1Hz的時(shí)鐘脈沖信號(hào)時(shí),要求電路能進(jìn)行減計(jì)時(shí);當(dāng)減計(jì)時(shí)到零時(shí),能輸出低電平有效的定時(shí)時(shí)間到信號(hào);4.定時(shí)搶答器電路聯(lián)調(diào)。注意各部分電路之間的時(shí)序配合關(guān)系,然后檢查電路各部分的功能,使其滿足設(shè)計(jì)要求。五 實(shí)訓(xùn)內(nèi)容及步驟 1.在數(shù)字搶答器中,如何將序號(hào)為0的參賽者編號(hào),在七段數(shù)碼管上改為顯示8?2.定時(shí)搶答器的擴(kuò)展功能還有哪些?舉例說明,并設(shè)計(jì)電路。3.定時(shí)搶答器中,有哪些電路會(huì)產(chǎn)生脈沖干擾?應(yīng)該如何消除干擾?六 預(yù)習(xí)要求 數(shù)字邏輯電路的讀圖步驟和其他電路是相同的,只是在進(jìn)行電路分析時(shí)處處要用邏輯分析的方法。讀圖時(shí)要: (1)先大致了解電路的用途和性能; (2)找出輸入端、輸出端和關(guān)鍵部件,區(qū)分開各種信號(hào)并弄清信號(hào)的流向; (3)逐級(jí)分析輸出與輸入的邏輯關(guān)系,了解各部分的邏輯功能;(4)最后統(tǒng)觀全局得出分析結(jié)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論