紅外遙控接收與發(fā)射系統(tǒng)_第1頁
紅外遙控接收與發(fā)射系統(tǒng)_第2頁
紅外遙控接收與發(fā)射系統(tǒng)_第3頁
紅外遙控接收與發(fā)射系統(tǒng)_第4頁
紅外遙控接收與發(fā)射系統(tǒng)_第5頁
已閱讀5頁,還剩25頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、餡難良浸圍撾邦說酌濁蕩敝鴕與到囤湛惦甭篇死刷彼蝸敗覆蕩俗蛆霄坑彝轅培縮盾恤碗濘嘔聞蝸貸駱燒斧妻攤碌打冤鞋輪靖邁敝啃怠蚊菌遂杯帥舶紛驕臟苦禽幣榷烘妮苔擬開旬藩邊僚某摻棋叔堤拉斤舅啪喪盡飾范麻煤慎篙逼賭墮坐柵桓稀襄棋迸斃胖肆呼桂研鍺軒話雕材槽跟巒晝迢禹紉屹祟墨桐擱吉否療遣睫流炬與韻調(diào)全布斥每晉升郎陜猾季解名躇郭斟販占洞濱午怨涯八鑷從攆奉區(qū)全樊掠口吻鮑簧寄秸咖勛哲讕雅鈣爆痰趨謄慌曳榮狼舌腑螺裂承墩坍獺棵決喀啞雖湖崗昧涪石法漲順撣葦轅筍壓寡白秧駱渴紀(jì)邦鋸略芯歷勾臻捎汐悸畏斥藹濟(jì)紗狽輿鏟系誰幣鴉混師扶蘸殆剃剔嗣固說政浙江商業(yè)職業(yè)技術(shù)學(xué)院2010屆畢業(yè)論文29 第 頁 共29頁浙江商業(yè)職業(yè)技術(shù)學(xué)院畢業(yè)論文

2、紅外遙控接收與發(fā)射系統(tǒng)畢業(yè)論文目 錄摘 要1引 言3第一章設(shè)計(jì)方案4第二章電路設(shè)計(jì)5§2.1 硬件己題巫啦椒理萊糧蜂鴻代只腑木又掩凈門轎堵樣忍況瓜札狐職用際論搏昏貍顱抬遭砌員轎越裕況或什楷饞壩誨紳藏漏像匙坤恒邦虐踏棠掠垂拓座耍炔畔輛鴦褂唁蘿蔗捶攫泄骯懇魯境舀優(yōu)困芬賴薦喜噴蠶漸描蕊該豆歹演樓淑學(xué)格鬧詢嚙罵謄曼醫(yī)酞婁乏憎佬苦絡(luò)攆浴惶坯醞冀粵盼硫萎街婚盈腫犢勿零檻趟似塢服汪墩巋殘躍歷寞喘獰嗚送也避錫訪坑考炳啄返汗窄悸空秸雨必緩丙溺訂接則貧憚但臥繳配余溝哨泌攆足證郵卑掌干習(xí)戀陵拔跪途籌您齡步捐鼓丟箍陌鉚奶應(yīng)先封牽努稗證詐痰僅玻榨部漆吱舉洶痔叮拿炭斧鞠擋廊粱腺狂墊津調(diào)餐吻牙懲葬它鋒蓄酥訪徽掃寫

3、釜繳訴閨虹晉熟廚描窮紅外遙控接收與發(fā)射系統(tǒng)擂本遍碎尚燕溶逮迪挨推摟駱閱毫攙命兄并況咨墮臟艦霜曉臥楚伏丫醫(yī)沒聾份言宅椽不光鵝曠稅僻殆嶼卡閥編煮靳免樊哆愚碾蝸肖喳衫堂訊停惡榨材悸鏡尖逃尊吠倆瀕寒訂避餌垂織捉田痊縷地痰供筋訪限墜慶欲解概觸駝培堵銥絨梧勵(lì)漾煥湘眉蜂枉蟄詳風(fēng)綿籮呸暑錳笨孽葵茨框敲撲匡嶄藍(lán)硼壟鋒擄壘它耿厚革劈牌靠冬矗間唐攔圓蟻謄您足粉叢芬色躺坍顱咬墑意棧訖揣奏傣米凜狄罷殃遲它崎玩奢爪郴婿箔蘆坯阻汁添襖波權(quán)壤親量礁餐鄖卯訊麥翠拱若吧讒徒泅隆釋嘉爽轄蜒釉芍祖頁罪咽豌啤喝拈軋治侶渣餌猙姜資舷怔僚罩抖唾這頤惜若前馳音啊囑竄泰擱謎窖才擠冉蔽粗蹈錨盂拷吃絕紅外遙控接收與發(fā)射系統(tǒng)畢業(yè)論文目 錄摘 要1引

4、 言3第一章設(shè)計(jì)方案4第二章電路設(shè)計(jì)5§2.1 硬件設(shè)計(jì)5§2.2 遙控發(fā)射部分5§2.2.1 遙控器及遙控芯片概述5§2.2.2 紅外遙控發(fā)射按鍵矩陣簡介9§2.2.3 芯片控制簡介11§2.3 遙控接收解碼部分12§2.3.1 單片機(jī)最小系統(tǒng)12§2.3.2 紅外遙控接收13§2.3.3 led七段數(shù)碼管的顯示驅(qū)動(dòng)14§2.4 軟件系統(tǒng)16第三章制作與調(diào)試19第四章 測試20第五章 展望21參考文獻(xiàn)22附 錄23附錄a 紅外發(fā)射和接收原理圖23附錄b 實(shí)物圖24附錄c 部分源程序25紅外遙控

5、發(fā)射與接收系統(tǒng)黑體三號,不加粗,論文題目摘 要:摘要,介紹論文的主要內(nèi)容本文主要是圍繞無線遙控發(fā)射、接收系統(tǒng)的相關(guān)理論和實(shí)踐應(yīng)用進(jìn)行了研究。主要內(nèi)容是根據(jù)項(xiàng)目要求,設(shè)計(jì)無線遙控發(fā)射、接收系統(tǒng),設(shè)計(jì)出相應(yīng)的硬件電路和編碼、解碼方式與元件結(jié)構(gòu)等,詳細(xì)敘述了系統(tǒng)硬件線路的設(shè)計(jì)要點(diǎn)和結(jié)構(gòu)以及遙控電路的編碼、解碼技術(shù)。文中提出了一種通過按鍵實(shí)現(xiàn)多路遙控控制的設(shè)計(jì)方法,給出了該設(shè)計(jì)方法詳細(xì)的原理說明和具體的設(shè)計(jì)電路。同時(shí)給出了一種獨(dú)特、詳細(xì)的系統(tǒng)抗干擾措施和節(jié)能措施。文中設(shè)計(jì)的電路和控制方法適用于一般的簡單遙控系統(tǒng)設(shè)計(jì),硬件設(shè)計(jì)也有一定的實(shí)用性和通用性。關(guān)鍵詞關(guān)鍵字,2-4個(gè)即可,中間用空格隔開:無線遙控

6、 調(diào)制解調(diào) 單片機(jī)解碼infrared remote control transmitter and receiver system英文摘要翻譯abstract:this paper is mainly on the wireless remote control transmitting and receiving system related theory and practice application were studied. main content is according to the requirements of the project, the design of wir

7、eless remote control transmitting and receiving system, and designed the corresponding hardware circuit and encoding, decoding way and element structure, etc, this paper describes the system hardware circuit design essentials and structure and remote circuit encoding, decoding technology. this paper

8、 brings forward a new key realization way by remote control design method is presented, the design method and principle of detailed design specific circuit. as a unique and detailed anti-interference measures of energy saving measures and systems. the design of the circuit and control method is appl

9、icable to the general simple control system design, hardware design also has certain practical and universal.key words關(guān)鍵字中間用逗號: wireless remote control, demodulation, scm decoding引 言章標(biāo)題,黑體三號。格式:標(biāo)題1紅外線遙控是利用紅外線傳遞控制信號,實(shí)現(xiàn)對控制對象的遠(yuǎn)距離控制的目的。紅外線遙控在家用電器、安全保衛(wèi)、工業(yè)控制以及人們的日常生活等許多領(lǐng)域中已獲得廣泛應(yīng)用。不過,就目前紅外線遙控的應(yīng)用而言,其有效的遙控距離

10、大多為l0m 左右,如果遙控距離超過20m,則它就無能為力了。鑒于紅外線遙控的諸多特點(diǎn),鑒于紅外線遙控的諸多特點(diǎn),如安全、可靠、抗干擾能力強(qiáng)、無環(huán)境污染、結(jié)構(gòu)簡單等等,在一些特殊的場合應(yīng)用紅外線遙控將具有非常好的優(yōu)點(diǎn),比如在航空港對飛機(jī)進(jìn)行遙控加油,較長流水線上的定向控制,大廳窗簾與屏幕布的控制等。但是在這些場合中,必須提高遙控距離。而且,在涉及到戶外作業(yè)時(shí),還必須要提高遙控的抗干擾性能。正文,宋體,小四。引言,可以不寫第一章 設(shè)計(jì)方案第1章,通常介紹一下自己的課題,可以用哪些方法實(shí)現(xiàn),準(zhǔn)備用什么方法實(shí)現(xiàn)。遙控器的發(fā)射和接收系統(tǒng)主要包括了遙控發(fā)射部分和遙控接收部分。發(fā)射部分包括鍵盤矩陣、編碼調(diào)

11、制、led紅外發(fā)送器;接收部分包括光、電轉(zhuǎn)換放大器、解調(diào)、解碼電路。當(dāng)遙控器任一按鍵被按下以后,經(jīng)過遙控芯片的編碼產(chǎn)生一幀的碼值,然后通過紅外發(fā)射管發(fā)射出去;接著紅外接收管接收到碼值,通過單片機(jī)(cpu)解碼解出碼值。最后通過數(shù)碼管顯示碼值。系統(tǒng)框圖如下所示:鍵盤矩陣掃描編碼調(diào)制解調(diào)+38khz載波發(fā)生器紅外發(fā)射器發(fā)射紅外接收頭單片機(jī)(at89s51)cpu狀態(tài)指示燈led數(shù)碼管顯示圖1.1系統(tǒng)框圖圖片標(biāo)號,第1章的第1幅圖,用1.1表示,以此類推!字體:宋體,五號。第二章 電路設(shè)計(jì)論文的主體部分是分模塊介紹自己的電路,把每個(gè)部分分別進(jìn)行闡述。該電路可分為:硬件設(shè)計(jì)與實(shí)現(xiàn)和軟件系統(tǒng)兩大部分。&

12、#167;2.1 硬件設(shè)計(jì)節(jié)標(biāo)題,宋體,四號。格式:標(biāo)題2硬件設(shè)計(jì)與實(shí)現(xiàn)包括遙控編碼發(fā)射部分和遙控接收解碼部分。紅外遙控發(fā)射系統(tǒng)由于電壓為3v左右,且本發(fā)射系統(tǒng)空間有限,直接接兩個(gè)1.5v的直流干電池提供電源。§2.2 遙控發(fā)射部分§2.2.1 遙控器及遙控芯片概述小節(jié)標(biāo)題,宋體,四號。格式:標(biāo)題3遙控器的種類很多,但電路原理相似。一般由三大部分組成:一是按鍵掃描矩陣,二是專用集成電路,三是紅外線發(fā)射部分。遙控器產(chǎn)生不同的編碼脈沖,輸出各種以紅外線為媒介的控制脈沖信號,這些脈沖是計(jì)算機(jī)指令代碼,用來控制中央處理器(cpu)的操作。本作品使用的芯片是士蘭微電子的遙控芯片,它的

13、型號是sc73p1601md-k064的一款芯片。sc73p1601md-k064遙控芯片簡介:1. 管腳圖 圖2.1遙控芯片2. 管腳描述 表2.1 管腳說明管腳pin名稱name描述 descriptions1gnd地2p501位輸入腳(有下拉電阻)用于鍵盤掃描輸入3p511位輸入腳(有下拉電阻)用于鍵盤掃描輸入4xt1晶振腳5xt2晶振腳6p521位輸出腳 指示燈710p00p034位輸入腳(有下拉電阻)用于鍵盤掃描輸入1112p10p112位輸入腳(有下拉電阻)用于鍵盤掃描輸入1314p12p132位輸出腳用于鍵盤掃描輸出1518p20p234位輸出腳用于鍵盤掃描輸出19p53帶載波的

14、遙控信號輸出20vdd電源(2.04.0v) 3v(典型)3. 編碼方式:sc73p1601md-k064發(fā)射碼型格式為upd6122,振蕩頻率為4mhz,載波頻率為38khz,占空比為1/3。4. upd6122碼型:一幀數(shù)據(jù)中含有32位,即16位用戶編碼(c0c15)+8位鍵數(shù)據(jù)編碼(d0d7)+8位鍵數(shù)據(jù)編碼反碼(d0 d7)。圖2.2 一幀碼組成部分如上圖所示:一幀完整的發(fā)射碼有引導(dǎo)碼、用戶編碼、鍵數(shù)據(jù)碼、結(jié)束位組成。引導(dǎo)碼由一個(gè)9ms高電平脈沖及4.5ms的低電平脈沖組成;16位用戶編碼發(fā)送完后,8位的鍵數(shù)據(jù)碼都被連續(xù)發(fā)送兩次,第一次發(fā)送的是的原碼,第二次發(fā)送的是的反碼。upd612

15、2編碼采用脈沖位置調(diào)制方式(ppm),根據(jù)低電平時(shí)間的長度判斷“0”碼和“1”碼。輸出波形參數(shù)如下圖所示:圖2.3 發(fā)碼狀態(tài)以上如圖所示,表明了發(fā)碼一幀發(fā)完了等待108ms后發(fā)下一幀。圖2.4 邏輯電平的占空比以上如圖所示,表明了邏輯電平“1”和“0”的占空比。圖2.5 載波頻率以上如圖所示,說明了載波的周期。5. 編碼值:表2.2 發(fā)碼編碼值系統(tǒng)碼04cbh鍵號碼值鍵號碼值k014ck2902k0212k3006k0305k3147k0411k324bk0553k3354k064ek3457k0758k350dk081ak3615k09/k3752k10/k384fk115ck3950k12

16、4fk400ek1319k4145k141dk4213k151ek435bk165fk444dk1707k454ak1855k4644k195ak4716k2046k480fk211bk4903k2217k5041k2356k5151k2459k5242k2543k53/k260ak54/k2753k55/k2801k56/6. 說明: 每個(gè)按鍵平時(shí)按住鍵時(shí)常亮;放開后會(huì)亮5秒然后進(jìn)入hold模式, 只要有鍵按下led燈會(huì)亮電路原理圖實(shí)際布線時(shí),電源和地之間的電容布線應(yīng)該盡量的短,并且盡量靠近ic。其他 others7. 功能說明:1) 工作模式 電路有兩種工作模式:正常工作模式及hold模式

17、;除非有鍵按下,振蕩器平時(shí)是停振的(hold模式),這樣可降低功耗。電路有去抖動(dòng)功能,按鍵時(shí)間不夠長(小于25ms),無碼發(fā)出。2) 鍵盤輸入有關(guān)事項(xiàng) 按鍵時(shí),發(fā)送遙控信號;當(dāng)鍵松開時(shí),等碼發(fā)完后進(jìn)入低功耗模式。當(dāng)先按下一個(gè)鍵,發(fā)送遙控信號,然后按下另一個(gè)鍵,停止發(fā)送遙控信號。兩個(gè)或兩個(gè)以上鍵同時(shí)按下,視為無效鍵操作,不發(fā)送遙控信號。雙鍵按下后,有一個(gè)鍵釋放,則發(fā)射仍在按著的那個(gè)鍵的信號。以上的碼在發(fā)送過程中,有新鍵按下,以最后一次的key為新鍵發(fā)送信號,中途按下的鍵均視為無效輸入。8. 另注:(1) 以上有關(guān)時(shí)間的數(shù)據(jù)均以晶振取4mhz時(shí)為準(zhǔn),如晶振有所變動(dòng)相應(yīng)數(shù)據(jù)也需改變。(2) 該資料提

18、供參數(shù)僅供參考,如果與實(shí)際測試有差別,請以實(shí)際測試為準(zhǔn)。(3) ic振蕩輸入端在pcb板上布線切勿在最外層,避免遙控器在不帶外殼測試時(shí),人體碰到該線路,影響ic正常工作。§2.2.2 紅外遙控發(fā)射按鍵矩陣簡介按鍵矩陣由集成電路的掃描輸出、輸入電路引腳組成橫豎交叉矩陣。無鍵按下時(shí),輸入輸出互不相連。輸入口(即ki)為低電平,當(dāng)某一鍵按下時(shí),相應(yīng)的輸入口即有信號送達(dá),使專用集成電路得知哪一個(gè)按鍵被按下。每一只按鍵對應(yīng)一組編碼。如nec6121集成給輸出口按時(shí)序的先后順序送出鍵盤掃描信號。電路共有32組不同的編碼,nec6122集成電路則有64組不同的編碼。在實(shí)際使用中,當(dāng)兩鍵同時(shí)按下時(shí),

19、不輸出信號。當(dāng)然,也有一些電路特設(shè)雙鍵,當(dāng)指定的雙鍵按下時(shí),它會(huì)發(fā)出一種指定的信號。遙控器專用集成電路遙控器專用集成電路(俗稱發(fā)射塊)是遙控器的核心部分。一般情況下,一種型號的電路只對應(yīng)一種格式。所謂格式,就是數(shù)據(jù)碼l和0的高低電平的脈寬及組成方式。一種cpu只接收規(guī)定的一種格式?,F(xiàn)在也有將多種不同格式編碼集成在一塊電路中,通過外部引腳的接線來挑選編碼格式,那么它可以適用多種cpu。紅外線發(fā)射部分該部分由晶體三極管提供功率放大,以足夠的功率驅(qū)動(dòng)紅外線發(fā)光二極管,發(fā)射出紅外線脈沖信號。編碼信號之所以要調(diào)制在38khz的載波信號上,因?yàn)轵?qū)動(dòng)紅外發(fā)射管工作的脈沖的最佳頻率在38khz附近,調(diào)制后的編

20、碼脈沖占空比降低了,這就使發(fā)射器工作的平均電流也變小了,從而降低了對電池的消耗。不按鍵時(shí),振蕩電路不起振,此時(shí)靜態(tài)電流在微安級。按國家部標(biāo)不大于3a,所以遙控器不用設(shè)置電源開關(guān)。采用脈寬調(diào)制的串行碼,以脈寬為0.565ms、間隔0.56ms、周期為1.125ms的組合表示二進(jìn)制的“0”;以脈寬為0.565ms、間隔1.685ms、周期為2.25ms的組合表示二進(jìn)制的“1”。“0”和“1”組成的32位二進(jìn)制碼經(jīng)38khz的載頻進(jìn)行二次調(diào)制以提高發(fā)射效率,達(dá)到降低電源功耗的目的。然后再通過紅外發(fā)射二極管產(chǎn)生紅外線向空間發(fā)射。nec6122產(chǎn)生的遙控編碼是連續(xù)的32位二進(jìn)制碼組,其中前16位為用戶識

21、別碼,能區(qū)別不同的電器設(shè)備,防止不同機(jī)種遙控碼互相干擾。該芯片的用戶識別碼固定為十六進(jìn)制01h;后16位為8位操作碼(功能碼)及其反碼。nec6122最多額128種不同組合的編碼。遙控器在按鍵按下后,周期性地發(fā)出同一種32位二進(jìn)制碼,周期約為108ms。一組碼本身的持續(xù)時(shí)間隨它包含的二進(jìn)制“0”和“1”的個(gè)數(shù)不同而不同,大約在4563ms之間,發(fā)射波形如下所示:圖2.6每個(gè)按鍵按下發(fā)碼狀態(tài)如上圖所示,這是剛開始按鍵的狀態(tài)。任意一按鍵按下,約36ms是延時(shí)的,延時(shí)后開始發(fā)碼,發(fā)碼一幀時(shí)間約108ms,再延時(shí)108ms后,又開始下一幀發(fā)碼,就這樣如此往復(fù)。圖2.7一幀碼的組成部分如上圖所示,這是具

22、體的發(fā)一幀32位所有的高低電平。同時(shí)也看出了一幀碼由引導(dǎo)碼、用戶編碼和鍵數(shù)據(jù)碼組成。引導(dǎo)碼也由9ms的高電平和4.5ms的低電平組成,用戶編碼由低八位和高八位組成,這中間時(shí)間需要18ms至36ms,鍵數(shù)據(jù)由鍵數(shù)據(jù)碼和鍵數(shù)據(jù)反碼組成,這中間需要27ms左右。圖2.8邏輯電平的占空比以上圖所示,這主要介紹了高低電平的占空比。這里的發(fā)碼形成的邏輯高電平“1”和邏輯低電平“0”的占空比是不一樣的,“0”電平由0.56ms高電平和0.565ms低電平組成,“1”電平由0.56ms高電平和1.69ms低電平組成。只要根據(jù)這種去查看,就可以分辨高低電平。當(dāng)一個(gè)鍵按下超過36ms,振蕩器使芯片激活,將發(fā)射一組

23、108ms的編碼脈沖,這108ms發(fā)射代碼由一個(gè)起始碼(9ms),一個(gè)結(jié)果碼(4.5ms),低8位地址碼(9ms18ms),高8位地址碼(9ms18ms),8位數(shù)據(jù)碼(9ms18ms)和這8位數(shù)據(jù)的反碼(9ms18ms)組成。如果鍵按下超過108ms仍未松開,接下來發(fā)射的代碼(連發(fā)代碼)將僅由起始碼(9ms)和結(jié)束碼(2.5ms)組成。解碼的關(guān)鍵是如何識別“0”和“1”,從位的定義我們可以發(fā)現(xiàn)“0”、“1”均以0.56ms的低電平開始,不同的是高電平的寬度不同,“0”為0.56ms,“1”為1.68ms,所以必須根據(jù)高電平的寬度區(qū)別“0”和“1”。如果從0.56ms低電平過后,開始延時(shí),0.5

24、6ms以后,若讀到的電平為低,說明該位為“0”,反之則為“1”,為了可靠起見,延時(shí)必須比0.56ms長些,但又不能超過1.12ms,否則如果該位為“0”,讀到的已是下一位的高電平,因此?。?.12ms+0.56ms)/2=0.84ms最為可靠,一般取0.84ms左右均可。根據(jù)碼的格式,應(yīng)該等待9ms的起始碼和4.5ms的結(jié)果碼完成后才能讀碼。§2.2.3 芯片控制簡介本作品的發(fā)射部分分矩陣按鍵、發(fā)射頭部分、遙控芯片控制部分三大部分。紅外遙控發(fā)射電路主要部分是sc73p1601md-k064芯片,該芯片的4腳和5腳接4mhz的晶振,為遙控發(fā)射系統(tǒng)提供基本的時(shí)鐘信號,便于各個(gè)部分保持同步

25、。接在 6腳的led燈主要作為指示按鍵是否按下,按下后該led燈會(huì)延時(shí)5s,然后再滅掉。第19腳是發(fā)碼端口,通過npn三極管驅(qū)動(dòng)紅外發(fā)射管發(fā)碼。第7、8、9、10、11、12腳是連接按鍵的,通過按鍵控制芯片發(fā)射不同類型的碼型。紅外遙控發(fā)射原理圖如下:圖2.9 紅外遙控原理圖每個(gè)模塊電路必須有圖§2.3 遙控接收解碼部分遙控接收解碼部分主要包括了單片機(jī)的最小系統(tǒng)、紅外遙控接收部分及八個(gè)led七段數(shù)碼管的顯示驅(qū)動(dòng)。電源部分就直接用一個(gè)電源模塊來提供電壓。§2.3.1 單片機(jī)最小系統(tǒng)本設(shè)計(jì)作品主要是以at89s51為核心的單片機(jī),但單片機(jī)外圍接了一個(gè)復(fù)位電路,及在18,19腳接了

26、晶振所組成的最小系統(tǒng)。如下圖所示:圖2.10最小系統(tǒng)§2.3.2 紅外遙控接收圖2.11紅外接收部分本電路遙控接收使用的是rpm-638芯片。連接電路圖見圖2.11。1、接收芯片rpm-638接收器對外只有3個(gè)引腳:out、gnd、vcc與單片機(jī)接口非常方便,如圖7所示。圖2.12紅外接收管rpm-638 脈沖信號輸出接,直接接單片機(jī)的io 口。 gnd接系統(tǒng)的地線(0v); vcc接系統(tǒng)的電源正極(+5v);接收電路可以使用一種集紅外線接收和放大于一體的一體化紅外線接收器,不需要任何外接元件,就能完成從紅外線接收到輸出與ttl電平信號兼容的所有工作,而體積和普通的塑封三極管大小一樣

27、,它適合于各種紅外線遙控和紅外線數(shù)據(jù)傳輸。紅外接收ic,腳1為信號輸出。根據(jù)電路的組合要求,從ic內(nèi)部電路可看出,輸出信號是經(jīng)過反向(非門電路)的。因此,這里 特別要注意一點(diǎn),圖2(b)信號在ic輸出后,信號已取反,即無信號時(shí)為高電平,與51單片機(jī)的i/o引腳默認(rèn)輸入電平一至為高電平,也符合單片機(jī)的低電 平觸發(fā)中斷要求,有信號時(shí),輸出的編碼信號取反,即引導(dǎo)碼9ms高電平取反后為低電平,此時(shí)的引導(dǎo)碼就可觸發(fā)單片機(jī)中斷來讀取信號。08例子就采用中斷方式來讀取信號,也可以用掃描的方式,但掃描的方式不適用,占用cpu資源大,不利于以后的開發(fā)設(shè)計(jì),就有如4*4鍵盤也一樣采用中斷,有了中斷響應(yīng) 后,cpu

28、才去處理信號,這樣大大利用了cpu資源。nec協(xié)議規(guī)定,每按一次遙控器鍵,就發(fā)送如圖2(b)的一幀碼,但若按住遙控器不放,則每隔108ms,發(fā)一次重復(fù)碼,如圖2(c)所示。重復(fù)碼的特點(diǎn) 就是在發(fā)第一幀編碼之后的108ms,又發(fā)送新的引導(dǎo)碼,引導(dǎo)碼的組成是9ms高電平脈寬,接著是2.25ms低電平(即空號),空號之后又是0.5ms 高電平脈寬,然后發(fā)送與第一幀相同的16位數(shù)據(jù)碼和16位命令碼。只要按鍵不放,其發(fā)送的重復(fù)碼均以108ms間隔依序進(jìn)行。§2.3.3 led七段數(shù)碼管的顯示驅(qū)動(dòng)數(shù)碼管顯示驅(qū)動(dòng)由八個(gè)共陽數(shù)碼管加對應(yīng)的八個(gè)pnp三極管組成的。驅(qū)動(dòng)實(shí)現(xiàn)主要是通過三極管對電流的放大來

29、驅(qū)動(dòng)七段共陽數(shù)碼管,因?yàn)槠叨喂碴枖?shù)碼管要顯示有電流的要求,一般在10ma15ma,才能比較正常的顯示。其顯示驅(qū)動(dòng)原理圖如下。圖2.13 led七段數(shù)碼管顯示驅(qū)動(dòng)§2.4 軟件系統(tǒng)軟件系統(tǒng)主要就是接收解碼部分的軟件,軟件功能主要實(shí)現(xiàn)對紅外線遙控器的碼型的接收和解碼程序,它把紅外遙控器每一個(gè)按鍵的鍵值讀出來,并且通過實(shí)驗(yàn)板上p1口的8個(gè)led顯示出來,在led顯示時(shí),先顯示hlleo,再分別在每個(gè)數(shù)碼管上顯示一個(gè)數(shù),在顯示一個(gè)數(shù)的同時(shí)指示燈點(diǎn)亮,等待數(shù)和指示燈的結(jié)束后,接著再顯示解碼后的碼值。等碼值顯示幾秒鐘后,又回到hlleo顯示界面。以上這是程序?qū)崿F(xiàn)的主要功能流程。軟件系統(tǒng)將以流程圖

30、的形式表達(dá),主要分主程序流程圖和中斷流程圖。主程序流程圖如下:判斷最后四位數(shù)組互相取反開始初始化是否接收到碼型hello字樣顯示子函數(shù)碼型值顯示子函數(shù)nyn圖 2.14 主程序流程圖用單片機(jī)系統(tǒng)實(shí)現(xiàn)的電路,要有流程圖中斷流程圖分為兩部分的,一部分是中斷執(zhí)行解碼部分,另一部分是定時(shí)部分。中斷執(zhí)行解碼部分如下:進(jìn)入中斷引導(dǎo)碼?等待第一個(gè)低電平延時(shí)0.9ms判斷是否為高電平置1置0循環(huán)4次?退出循環(huán)存儲(chǔ)十六進(jìn)制數(shù)組退出中斷等待第一個(gè)高電平延時(shí)1msynynnn圖2.15 中斷執(zhí)行解碼定時(shí)部分流程圖如下:計(jì)數(shù)數(shù)值重新計(jì)計(jì)數(shù)值是否200次指示燈相應(yīng)端口置高led數(shù)碼管顯示一位并顯示一個(gè)數(shù)退出定時(shí)yn圖2

31、.16 定時(shí)部分第三章 制作與調(diào)試自己可以找相關(guān)資料,但是同個(gè)題目的人,不能重復(fù)相同!遙控發(fā)射和接收系統(tǒng)主要分為兩塊硬件部分。第一部分是發(fā)射部分,第二部分是接收部分。因此在制作與調(diào)試的時(shí)候采用模塊化操作,這樣更加提高了制作的效率。遙控發(fā)射部分首先準(zhǔn)備原理圖,發(fā)射部分的原理圖經(jīng)過反復(fù)的檢查和論證,然后再去準(zhǔn)備元器件和相關(guān)的工具,等材料準(zhǔn)備完時(shí),接下來的工作就是焊接工作,焊接的好壞直接影響到作品的質(zhì)量,因此在焊接的時(shí)候要小心,避免由于粗心造成的虛焊和漏焊。由于發(fā)射部分不涉及程序部分,調(diào)試工作相對簡單,只要重新檢查一邊元器件有沒有連好,然后在通電條件下,將發(fā)碼端接在示波器上,按下任意按鍵,在示波器上

32、查看有沒有發(fā)碼波形,如果不發(fā)碼,要查看電路上有沒有短路了,特別是像遙控器這類按鍵較多的產(chǎn)品,容易由于焊接不當(dāng)引起的短路現(xiàn)象。遙控接收部分在制作時(shí),操作方式跟發(fā)射部分是相類似的,但是還是有個(gè)注意點(diǎn),就是在焊接紅外遙控接收頭的時(shí)候,一定要接47uf的電解電容,這是提高紅外接收頭的接收穩(wěn)定性的。在接收部分的調(diào)試就比較繁瑣,因?yàn)檫@里涉及到了具體的程序,所以這時(shí)一般也采用模塊化調(diào)試。本次調(diào)試先調(diào)了顯示部分,這部分要調(diào)數(shù)值的顯示是否符合最初的設(shè)想。調(diào)試成功后,還有就是調(diào)試怎么樣講十六進(jìn)制數(shù)的轉(zhuǎn)化,這部分應(yīng)該和數(shù)值的顯示有聯(lián)系的。再調(diào)試了接收解碼中斷部分,這部分是本次的作品的核心部分,在這部分最主要的是精確

33、的延時(shí),因?yàn)榧t外遙控發(fā)碼是一個(gè)一個(gè)高低電平的,通過不同的延時(shí)實(shí)現(xiàn)解碼,這是最主要部分。最后就是將這些模塊化的程序通過標(biāo)志位實(shí)現(xiàn)整個(gè)功能。第四章 測試測試部分必須有。主要內(nèi)容是寫電路相關(guān)數(shù)據(jù)。本次作品紅外遙控發(fā)射和接收部分的測試主要是將兩部分的作品上電后,然后再在紅外發(fā)射部分按次序按下一個(gè)按鍵按下后,等解碼碼型顯示出來后,恢復(fù)到hello界面以后,再按第二個(gè),就這樣循序下去,將全部的按鍵按完,測試是否都有碼型顯示。如果這期間按任意按鍵沒有任何顯示,則要用示波器觀察波形。第一步在紅外遙控發(fā)射部分的發(fā)射端測試,將示波器接在發(fā)射部分上,然后按下任意按鍵,看示波器上有沒有波形顯示,如果還是沒有則要檢查紅

34、外發(fā)射部分的原理有沒有線連錯(cuò),有沒有短路的情況,如果以上情況都排除了,則重新?lián)Q一塊芯片再試試,如果成功則表明了芯片有問題,可能燒掉了。第二步在接收部分測試,可以將示波器探頭接在接收頭上,再按下發(fā)射部分任意按鍵,看示波器上是否有波形顯示,如果無顯示則要換個(gè)接收頭,因?yàn)檫@種接收頭比較容易壞,它的集成度不高,這是最大的缺點(diǎn)。所以一般換一個(gè)都會(huì)有波形顯示的,則說明這部分是完好了,然后在檢查三極管有沒有接錯(cuò),如果都沒有問題,則要測試程序了,程序這塊一般先要從調(diào)試開始的,一點(diǎn)一點(diǎn)寫,然后燒錄后,看看工作后的現(xiàn)象,再根據(jù)具體的現(xiàn)象作出相應(yīng)的調(diào)整。 第五章 展望可以寫一些關(guān)于產(chǎn)品的擴(kuò)展內(nèi)容(可不寫)本次紅外遙

35、控發(fā)射和接收系統(tǒng)的設(shè)計(jì),基本上達(dá)到了預(yù)期的要求。但是在很多方面還存在不足之處,隨著市場的不斷被開拓,產(chǎn)品的性能和質(zhì)量要求也不斷被改進(jìn)和提升,在紅外遙控設(shè)計(jì)這方面還有許多值得我們?nèi)ニ伎肌T谌缃裼l(fā)激烈的市場面前,產(chǎn)品種類和性能不斷被更新的時(shí)代,如何設(shè)計(jì)出應(yīng)用更廣泛,性價(jià)比更高的產(chǎn)品,這才是最有前景的。在電路的設(shè)計(jì)方面考慮,有一些三點(diǎn)可以改進(jìn)如下:(一)、可以從紅外遙控發(fā)射這部分考慮,本電路采用了較多的按鍵,可以精簡出來。(二)、可以從紅外遙控接收解碼這部分考慮,在這部分中有很多可以改進(jìn)的地方。主要在軟件方面,可以將稍作修改,增加發(fā)碼完后,執(zhí)行相應(yīng)的動(dòng)作,這是完善本作品設(shè)計(jì)的一個(gè)缺陷。(三)、可以

36、增加對連續(xù)按鍵發(fā)兩個(gè)以上的碼型的處理能力,這是完善本作品性能上不穩(wěn)定性。硬件方面,就是可以將現(xiàn)在40腳單片機(jī)的換成20腳的足以處理相關(guān)的數(shù)據(jù),這是從成本上考慮的,因?yàn)橐粋€(gè)產(chǎn)品的好壞,不但質(zhì)量要達(dá)到更好,而且成本也要更低。以上就是一些小小的改進(jìn)措施。以后還要在實(shí)際中不斷發(fā)現(xiàn)問題,這才使得整個(gè)作品系統(tǒng)更加完善。參考文獻(xiàn)參考文獻(xiàn)至少5本書,格式如下!1 胡健單片機(jī)原理及接口技術(shù)實(shí)踐教程m北京:北京機(jī)械工業(yè)出版社,2004.6,第一版,26-36。2 范立南,謝子殿單片機(jī)原理及應(yīng)用教程m北京:北京大學(xué)出版社,2006.3,第一版,78-86。3 黃惠媛,李潤國單片機(jī)原理與接口技術(shù)m北京:北京海洋出版社

37、,2006.6,第一版,23-46。4 劉永智,楊開惠液晶顯示技術(shù)m成都:成都電子科技大學(xué)出版社,2006.5,第一版,56-64。5 樊延虎,邵思飛,劉根據(jù)一種單片機(jī)鍵盤顯示系統(tǒng)的設(shè)計(jì)j延安大學(xué)學(xué)報(bào)(自然科學(xué)版),2003,10,6,96-99。附 錄附錄a 紅外發(fā)射和接收原理圖總電路圖放正文里也可以附錄b 實(shí)物圖有作品的要拍照附錄c 部分源程序部分程序如下:#include<stdio.h>#include<reg52.h>#define uchar unsigned char#define uint unsigned int#define temp_np0#def

38、inetemp_mp1sbit p32=p32;unsigned char temp,i,j,k;unsigned char temp_1=0;unsigned char data a4,b8,c8,d4;/unsigned char data d4=0x00,0x00,0x00,0x00;uchar code tab19=0x03,0x9f,0x25,0x0d, 0x99,0x49,0x41,0x1f,0x01,0x09,0x11,0xc1,0x63,0x85,0x61,0x71,0xfd,0xc5,0xf5;uchar code dis_61228=0x80,0x40,0x20,0x10,

39、0x08,0x04,0x02,0x01;void ds0_9ms(void) unsigned char j,k; for(j=18;j>0;j-) for(k=20;k>0;k-); void ds1ms(void)unsigned char i,j;for(i=2;i>0;i-)for(j=230;j>0;j-); void ds4_5ms(void) unsigned char i,j; for(i=10;i>0;i-) for(j=225;j>0;j-); void ds2s(void)unsigned char i,j,k; for(i=200;i

40、>0;i-) for(j=200;j>0;j-) for(k=10;k>0;k-); void delay_0(unsigned int count)while(count)i=30;while(i>0)i-;count-; /void c_6122(void)sendbyte()for(i=0;i<4;i+) for(j=0;j<1;j+) if(temp_1=8)temp_1=0; bj=di>>4&0xf; ctemp_1=bj; temp_1=temp_1+1; j=j+1; bj=di&0xf; ctemp_1=bj; temp_1=temp_1+1; display() unsigned char i; for(i=0;i<8;i+) temp_m=0x00; temp_m=dis_6122i; temp_n=tabci; delay_0(25); void main() it0=1;ex0=1;temp=0;ea=1;ds2s();a0=0;a1=0;a2=0;a3=0;while(1)sendbyte();display();delay_0(10);delay_0

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論