北郵數(shù)電綜合實(shí)驗(yàn)電子沙漏的設(shè)計(jì)與實(shí)現(xiàn)_第1頁
北郵數(shù)電綜合實(shí)驗(yàn)電子沙漏的設(shè)計(jì)與實(shí)現(xiàn)_第2頁
北郵數(shù)電綜合實(shí)驗(yàn)電子沙漏的設(shè)計(jì)與實(shí)現(xiàn)_第3頁
北郵數(shù)電綜合實(shí)驗(yàn)電子沙漏的設(shè)計(jì)與實(shí)現(xiàn)_第4頁
北郵數(shù)電綜合實(shí)驗(yàn)電子沙漏的設(shè)計(jì)與實(shí)現(xiàn)_第5頁
已閱讀5頁,還剩15頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、北 京 郵 電 大 學(xué) 數(shù)電綜合實(shí)驗(yàn)報(bào)告 實(shí)驗(yàn)名稱: 電子沙漏的設(shè)計(jì)與實(shí)現(xiàn) 學(xué) 院:信息與通信工程學(xué)院 姓 名: 班 級(jí): 學(xué) 號(hào): 班內(nèi)序號(hào): 1、 實(shí)驗(yàn)設(shè)計(jì)的基本要求 沙漏是一種古老的計(jì)時(shí)工具,也是一種玩具。電子沙漏用發(fā)光二極管表示沙粒,模擬沙漏的運(yùn)動(dòng)過程。電子沙漏會(huì)像真正的沙漏一樣,上部的沙粒(點(diǎn)亮的發(fā)光二極管)一粒一粒往下掉,下部的沙粒一粒一粒堆起來。1、 采用 8*8 雙色點(diǎn)陣顯示電子沙漏的開機(jī)界面,如圖 2 所示。其中紅色 LED 代表沙漏的上半部分沙粒 VD0VD15,綠色 LED 代表沙漏的下半部分 VD0'VD15'。2、用撥碼開關(guān) SW1 模擬重力感應(yīng)器。當(dāng)

2、 SW1 為低電平時(shí),沙粒從 VD0VD15 向 VD0'VD15'移動(dòng);當(dāng) SW1 為高電平時(shí),沙粒從 VD0'VD15'向 VD0VD15 移動(dòng)。3、 按鍵 BTN0 作為計(jì)時(shí)啟動(dòng)停止按鍵,啟動(dòng)后沙粒即可按照 SW1 設(shè)定的方向移動(dòng), 以 SW1 為低電平時(shí)為例,LED 移動(dòng)的順序與對(duì)應(yīng)關(guān)系如圖 3 的116所示(若 SW1 為高電平,則點(diǎn)陣顯示移動(dòng)順序?yàn)?61)。每顆沙粒的移動(dòng)時(shí)間為 1 秒,當(dāng)移動(dòng) 到圖 3 的16時(shí),若 SW1 仍為低電平,則保持沙粒不動(dòng),但計(jì)時(shí)繼續(xù),直到 SW1 的 電平發(fā)生變化或者 BTN0 計(jì)時(shí)停止。4、 設(shè)計(jì)實(shí)現(xiàn)一個(gè) 60 秒計(jì)

3、時(shí)器,當(dāng)按鍵 BTN0 啟動(dòng)時(shí)開始工作,用于在沙粒移動(dòng)過程 中進(jìn)行計(jì)時(shí)校準(zhǔn),并用數(shù)碼管 DISP0DISP1 顯示計(jì)時(shí)結(jié)果。提高要求:1、 可以調(diào)節(jié)控制電子沙漏的流動(dòng)速度。2、 用多種方式呈現(xiàn)電子沙漏界面。3、 自行設(shè)定沙粒的移動(dòng)路徑,顯示每顆沙粒的移動(dòng)過程。 4、 外接重力感應(yīng)器,實(shí)現(xiàn)真實(shí)的電子沙漏功能。5、 自擬其它功能。二、系統(tǒng)設(shè)計(jì)1、設(shè)計(jì)思路實(shí)驗(yàn)比較復(fù)雜,故采用分模塊設(shè)計(jì)的思想,將模塊分為了分頻模塊、控制模塊、數(shù)碼管顯示模塊、8*8點(diǎn)陣顯示模塊。由于本實(shí)驗(yàn)需要用BTN0按鍵來控制時(shí)間和沙漏的開始運(yùn)行以及時(shí)間的暫停功能,故需要檢測(cè)輸入,此時(shí)就要用到防抖模塊,防止在按下按鍵時(shí)有多個(gè)上升沿產(chǎn)

4、生導(dǎo)致開關(guān)并不能完美的發(fā)揮作用??刂颇K是用來實(shí)現(xiàn)具體的操作的,通過對(duì)按下BTN0按鍵的次數(shù)統(tǒng)計(jì),將其分為奇數(shù)與偶數(shù)兩種情況,在奇數(shù)時(shí)使功能正常運(yùn)行,在統(tǒng)計(jì)為偶數(shù)時(shí)使時(shí)間暫停,以此來實(shí)現(xiàn)對(duì)此系統(tǒng)的控制。由于數(shù)電實(shí)驗(yàn)電路板自帶著時(shí)鐘頻率,但此頻率并不能滿足系統(tǒng)的要求,故需要分頻將時(shí)鐘頻率變?yōu)樗枰念l率,用來作為60秒計(jì)時(shí)器的校準(zhǔn)和正常運(yùn)行。本實(shí)驗(yàn)最重要的部分就是8*8點(diǎn)陣顯示模塊和數(shù)碼管顯示模塊。對(duì)于led燈來說,要讓它按照設(shè)計(jì)好的圖案顯示,需要一行行對(duì)8*8點(diǎn)陣進(jìn)行掃描,在列中來控制哪一行來顯示,經(jīng)過一定時(shí)間后到下一行顯示,而控制紅燈亮還是綠燈則通過不同的接口控制,在掃描頻率夠快的時(shí)候,由于

5、人眼暫留效應(yīng),會(huì)誤以為是一直在顯示,以此達(dá)到所需要的效果。數(shù)碼管的顯示模塊與此類似,通過選位信號(hào)選擇需要亮的數(shù)碼管,然后在掃描頻率較高時(shí)則看到穩(wěn)定顯示的數(shù)字。2、系統(tǒng)框圖控制模塊(1)總體框圖8*8點(diǎn)陣顯示分頻模塊BTN0輸入計(jì)時(shí)器控制模塊8*8點(diǎn)陣顯示模塊(2)程序流程圖開始初始化開機(jī)界面否BTN0是否按下是計(jì)時(shí)開始并且沙漏開始運(yùn)動(dòng)SW1是否翻轉(zhuǎn)否沙漏向相反方向流動(dòng)是計(jì)時(shí)是否到59s 重新開始計(jì)時(shí) 是 否是否暫停是顯示暫停三、源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity d

6、iv4k isport(clk_in : in std_logic; clk_out : out std_logic);end;architecture a of div4k issignal cnt : integer range 0 to 1999;signal clk_tmp : std_logic;beginprocess(clk_in)beginif (clk_in'event and clk_in='1') thenif cnt=1999 thencnt<=0; clk_tmp<= not clk_tmp;elsecnt<=cnt+1;en

7、d if;end if;end process;clk_out<=clk_tmp;end;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY scan_led_2 IS PORT ( CLK : IN STD_LOGIC; BTN0: IN STD_LOGIC; SW0 : IN STD_LOGIC; SW1 : IN STD_LOGIC; SG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); BT : OUT STD_LOGIC_VECTOR(5 DOWN

8、TO 0); R:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); Cr:OUT STD_LOGIC_VECTOR(0 TO 6); Cg:OUT STD_LOGIC_VECTOR(0 TO 6); END;ARCHITECTURE arc OF scan_led_2 IScomponent div4kport(clk_in: in std_logic; clk_out: out std_logic);end component;SIGNAL clk_tmp1 : std_logic;SIGNAL BT1:STD_LOGIC_VECTOR(5 DOWNTO 0);SIGNAL

9、 CNT6 : INTEGER RANGE 0 TO 6; SIGNAL CNT9 : INTEGER RANGE 0 TO 9; SIGNAL A : INTEGER RANGE 0 TO 9; SIGNAL S : INTEGER RANGE 0 TO 7;-控制點(diǎn)陣顯示 SIGNAL S1 : INTEGER RANGE 0 TO 16;-沙漏狀態(tài) SIGNAL S2 : INTEGER RANGE 0 TO 100;-開機(jī)畫面 SIGNAL SW_0 : STD_LOGIC;-SW_0 為1時(shí)運(yùn)行 為0時(shí)保持原狀態(tài) SIGNAL SW_1 : STD_LOGIC;-SW_1 為0時(shí)向下

10、運(yùn)動(dòng) 為1時(shí)向上運(yùn)動(dòng) SIGNAL FLAG : STD_LOGIC;- FLAG='1'時(shí)運(yùn)行 FLAG=0停止 SIGNAL COUNT0 : INTEGER RANGE 0 TO 15000;SIGNAL COUNT1 : INTEGER RANGE 0 TO 15001;SIGNAL clk_tmp : STD_LOGIC;BEGINu1:div4k port map(clk_in=>CLK,clk_out=>clk_tmp);P0:process(BTN0)-按鍵防抖 BEGIN IF CLK'EVENT AND CLK = '1'

11、 THEN IF (BTN0 AND CLK)='0'THEN COUNT0<=0; END IF; IF (BTN0 AND CLK)='1'THEN COUNT0<=COUNT0+1; IF COUNT0<=5 THEN COUNT0<=0;FLAG<=NOT FLAG; END IF; END IF; END IF; END PROCESS P0;P1:process(clk_tmp) BEGIN IF clk_tmp'EVENT AND clk_tmp = '1' THEN case BT1 is w

12、hen"111110"=> BT1<="111101" when"111101"=> BT1<="111110" when others => BT1<="111110" end case; END IF; if BT1 ="111101" then BT<="111101"A<=CNT6; end if; if BT1 ="111110" then BT<="111110

13、"A<=CNT9; end if; END PROCESS P1;P3:process(clk_tmp) BEGIN SW_0<=SW0; SW_1<=SW1; IF clk_tmp'EVENT AND clk_tmp = '1' THEN COUNT1 <= COUNT1+1;IF COUNT1 = 12499 THEN COUNT1 <= 0; CNT9 <= CNT9 + 1; if CNT9 = 9 then CNT9 <= 0; CNT6<= CNT6 + 1; end if; if CNT6 = 5

14、and CNT9 = 9 then CNT6 <= 0; CNT9 <= 0; end if; IF SW_1 = '0' and FLAG = '1' THEN S1<=S1+1; if S1=16 then S1<=16; end if; END IF; IF FLAG = '0' THEN S1<=S1;CNT6<= CNT6;CNT9 <= CNT9; END IF; IF SW_1 = '1' and FLAG = '1'THEN S1<=S1-1; if

15、S1=0 then S1<=0; end if; END IF; END IF;END IF; END PROCESS P3;P4:process(A)BEGIN CASE A IS -實(shí)現(xiàn)數(shù)碼管的顯示功能 WHEN 0 => SG <= "1111110" WHEN 1 => SG <= "0110000" WHEN 2 => SG <= "1101101" WHEN 3 => SG <= "1111001" WHEN 4 => SG <= &qu

16、ot;0110011" WHEN 5 => SG <= "1011011" WHEN 6 => SG <= "1011111" WHEN 7 => SG <= "1110000" WHEN 8 => SG <= "1111111" WHEN 9 => SG <= "1111011" WHEN OTHERS => NULL ; END CASE ; END PROCESS P4; P5:process(S) BEGIN I

17、F clk_tmp'EVENT AND clk_tmp = '1' THEN S<=S+1; IF S=7 THEN S<=0; END IF; END IF; IF S1=0 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="0000000"Cr<="1111111" WHEN 1 => R<="10111111" ;Cg<="0000000"Cr<="0111

18、110" WHEN 2 => R<="11011111" ;Cg<="0000000"Cr<="0011100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 =

19、> R<="11111011" ;Cg<="0011100"Cr<="0000000" WHEN 6 => R<="11111101" ;Cg<="0111110"Cr<="0000000" WHEN 7 => R<="11111110" ;Cg<="1111111"Cr<="0000000" WHEN OTHERS => NULL ; E

20、ND CASE ; END IF; IF S1=1 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="0001000"Cr<="1110111" WHEN 1 => R<="10111111" ;Cg<="0000000"Cr<="0111110" WHEN 2 => R<="11011111" ;Cg<="0000000"Cr&l

21、t;="0011100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="0011100"Cr<="0000000&q

22、uot; WHEN 6 => R<="11111101" ;Cg<="0111110"Cr<="0000000" WHEN 7 => R<="11111110" ;Cg<="1110111"Cr<="0001000" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=2 then CASE S IS WHEN 0 => R<="01111111"

23、;Cg<="0011000"Cr<="1100111" WHEN 1 => R<="10111111" ;Cg<="0000000"Cr<="0111110" WHEN 2 => R<="11011111" ;Cg<="0000000"Cr<="0011100" WHEN 3 => R<="11101111" ;Cg<="0000

24、000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="0011100"Cr<="0000000" WHEN 6 => R<="11111101" ;Cg<="0111110"Cr<=&q

25、uot;0000000" WHEN 7 => R<="11111110" ;Cg<="1100111"Cr<="0011000" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=3 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="0011100"Cr<="1100011" WHEN 1 => R<="10

26、111111" ;Cg<="0000000"Cr<="0111110" WHEN 2 => R<="11011111" ;Cg<="0000000"Cr<="0011100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg&l

27、t;="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="0011100"Cr<="0000000" WHEN 6 => R<="11111101" ;Cg<="0111110"Cr<="0000000" WHEN 7 => R<="11111110" ;Cg<="1100011&q

28、uot;Cr<="0011100" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=4 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="0111100"Cr<="1000011" WHEN 1 => R<="10111111" ;Cg<="0000000"Cr<="0111110" WHEN 2 => R

29、<="11011111" ;Cg<="0000000"Cr<="0011100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="1111101

30、1" ;Cg<="0011100"Cr<="0000000" WHEN 6 => R<="11111101" ;Cg<="0111110"Cr<="0000000" WHEN 7 => R<="11111110" ;Cg<="1000011"Cr<="0111100" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=

31、5 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="0111110"Cr<="1000001" WHEN 1 => R<="10111111" ;Cg<="0000000"Cr<="0111110" WHEN 2 => R<="11011111" ;Cg<="0000000"Cr<="0011100" W

32、HEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="0011100"Cr<="0000000" WHEN 6 => R<=

33、"11111101" ;Cg<="0111110"Cr<="0000000" WHEN 7 => R<="11111110" ;Cg<="1000001"Cr<="0111110" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=6 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="1111110&qu

34、ot;Cr<="0000001" WHEN 1 => R<="10111111" ;Cg<="0000000"Cr<="0111110" WHEN 2 => R<="11011111" ;Cg<="0000000"Cr<="0011100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="00

35、01000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="0011100"Cr<="0000000" WHEN 6 => R<="11111101" ;Cg<="0111110"Cr<="0000000" WHEN 7

36、 => R<="11111110" ;Cg<="0000001"Cr<="1111110" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=7 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="1111111"Cr<="0000000" WHEN 1 => R<="10111111" ;Cg<=&qu

37、ot;0000000"Cr<="0111110" WHEN 2 => R<="11011111" ;Cg<="0000000"Cr<="0011100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr

38、<="0000000" WHEN 5 => R<="11111011" ;Cg<="0011100"Cr<="0000000" WHEN 6 => R<="11111101" ;Cg<="0111110"Cr<="0000000" WHEN 7 => R<="11111110" ;Cg<="0000000"Cr<="1111111

39、" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=8 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="1111111"Cr<="0000000" WHEN 1 => R<="10111111" ;Cg<="0001000"Cr<="0110110" WHEN 2 => R<="11011111"

40、; ;Cg<="0000000"Cr<="0011100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="00

41、11100"Cr<="0000000" WHEN 6 => R<="11111101" ;Cg<="0110110"Cr<="0001000" WHEN 7 => R<="11111110" ;Cg<="0000000"Cr<="1111111" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=9 then CASE S IS WHEN 0

42、=> R<="01111111" ;Cg<="1111111"Cr<="0000000" WHEN 1 => R<="10111111" ;Cg<="0011000"Cr<="0100110" WHEN 2 => R<="11011111" ;Cg<="0000000"Cr<="0011100" WHEN 3 => R<="

43、11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="0011100"Cr<="0000000" WHEN 6 => R<="11111101" ;Cg

44、<="0100110"Cr<="0011000" WHEN 7 => R<="11111110" ;Cg<="0000000"Cr<="1111111" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=10 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="1111111"Cr<="0000000

45、" WHEN 1 => R<="10111111" ;Cg<="0011100"Cr<="0100010" WHEN 2 => R<="11011111" ;Cg<="0000000"Cr<="0011100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 =>

46、; R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="0011100"Cr<="0000000" WHEN 6 => R<="11111101" ;Cg<="0100010"Cr<="0011100" WHEN 7 => R<="1111

47、1110" ;Cg<="0000000"Cr<="1111111" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=11 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="1111111"Cr<="0000000" WHEN 1 => R<="10111111" ;Cg<="0111100"Cr<

48、="0000010" WHEN 2 => R<="11011111" ;Cg<="0000000"Cr<="0011100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000&quo

49、t; WHEN 5 => R<="11111011" ;Cg<="0011100"Cr<="0000000" WHEN 6 => R<="11111101" ;Cg<="0000010"Cr<="0111100" WHEN 7 => R<="11111110" ;Cg<="0000000"Cr<="1111111" WHEN OTHERS =&g

50、t; NULL ; END CASE ; END IF; IF S1=12 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="1111111"Cr<="0000000" WHEN 1 => R<="10111111" ;Cg<="0111110"Cr<="0000000" WHEN 2 => R<="11011111" ;Cg<="00000

51、00"Cr<="0011100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="0011100"Cr<=&qu

52、ot;0000000" WHEN 6 => R<="11111101" ;Cg<="0000000"Cr<="0111110" WHEN 7 => R<="11111110" ;Cg<="0000000"Cr<="1111111" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=13 then CASE S IS WHEN 0 => R<="01

53、111111" ;Cg<="1111111"Cr<="0000000" WHEN 1 => R<="10111111" ;Cg<="0111110"Cr<="0000000" WHEN 2 => R<="11011111" ;Cg<="0001000"Cr<="0010100" WHEN 3 => R<="11101111" ;Cg&l

54、t;="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="0010100"Cr<="0001000" WHEN 6 => R<="11111101" ;Cg<="0000000&q

55、uot;Cr<="0111110" WHEN 7 => R<="11111110" ;Cg<="0000000"Cr<="1111111" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=14 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="1111111"Cr<="0000000" WHEN 1 =>

56、R<="10111111" ;Cg<="0111110"Cr<="0000000" WHEN 2 => R<="11011111" ;Cg<="0011000"Cr<="0000100" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="111101

57、11" ;Cg<="0001000"Cr<="0000000" WHEN 5 => R<="11111011" ;Cg<="0000100"Cr<="0011000" WHEN 6 => R<="11111101" ;Cg<="0000000"Cr<="0111110" WHEN 7 => R<="11111110" ;Cg<=&

58、quot;0000000"Cr<="1111111" WHEN OTHERS => NULL ; END CASE ; END IF; IF S1=15 then CASE S IS WHEN 0 => R<="01111111" ;Cg<="1111111"Cr<="0000000" WHEN 1 => R<="10111111" ;Cg<="0111110"Cr<="0000000" WHEN 2 => R<="11011111" ;Cg<="0011100"Cr<="0000000" WHEN 3 => R<="11101111" ;Cg<="0000000"Cr<="0001000" WHEN 4 => R<="11110111" ;Cg<="0001000"Cr<="0000000" WHEN 5 =>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論