第9章模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器PPT課件_第1頁
第9章模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器PPT課件_第2頁
第9章模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器PPT課件_第3頁
第9章模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器PPT課件_第4頁
第9章模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器PPT課件_第5頁
已閱讀5頁,還剩121頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、微機(jī)原理及單片機(jī)接口技術(shù)微機(jī)原理及單片機(jī)接口技術(shù)第第9章章 模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器任課教師: http:/ stc15系列單片機(jī)器件手冊(cè)等keil vision軟件下載及指導(dǎo)手冊(cè)(Helpvision Help) http:/ Software Cx51 編譯器用戶手冊(cè): Cx51編譯器-對(duì)傳統(tǒng)和擴(kuò)展的8051微處理器的優(yōu)化的C編譯器和庫(kù)參考2第第9章章 模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器本章學(xué)習(xí)目標(biāo)本章學(xué)習(xí)目標(biāo)了解模數(shù)轉(zhuǎn)換器的工作原理及性能指標(biāo)了解模數(shù)轉(zhuǎn)換器的工作原理及性能指標(biāo)掌握模數(shù)轉(zhuǎn)換器的應(yīng)用掌握模數(shù)轉(zhuǎn)換器的應(yīng)用了解數(shù)模轉(zhuǎn)換器的工作原理及性能指標(biāo)了解數(shù)模轉(zhuǎn)

2、換器的工作原理及性能指標(biāo)掌握數(shù)模轉(zhuǎn)換器的應(yīng)用掌握數(shù)模轉(zhuǎn)換器的應(yīng)用3第第9章章 模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器9.1 模數(shù)轉(zhuǎn)換器的工作原理及性能指標(biāo)模數(shù)轉(zhuǎn)換器的工作原理及性能指標(biāo)9.1.1 模模數(shù)轉(zhuǎn)換器的工作原理數(shù)轉(zhuǎn)換器的工作原理9.1.2 模模數(shù)轉(zhuǎn)換器的性能指標(biāo)數(shù)轉(zhuǎn)換器的性能指標(biāo)9.2 IAP15W4K58S4單片機(jī)片內(nèi)集成的模擬量模塊單片機(jī)片內(nèi)集成的模擬量模塊9.2.1 IAP15W4K58S4集集成的成的A/D模塊的結(jié)構(gòu)及其使模塊的結(jié)構(gòu)及其使用用9.2.2 IAP15W4K58S4集集成成的的比較器模塊比較器模塊及及其使其使用用9.3 數(shù)模轉(zhuǎn)換器及其應(yīng)用數(shù)模轉(zhuǎn)換器及其應(yīng)用9.

3、3.1 數(shù)數(shù)模轉(zhuǎn)換器的工作原理及性能指標(biāo)模轉(zhuǎn)換器的工作原理及性能指標(biāo)9.3.2 12位位D/A轉(zhuǎn)換器轉(zhuǎn)換器TLV5616及其接口技術(shù)及其接口技術(shù)4第第9章章 模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器 隨著數(shù)字電子技術(shù)及計(jì)算機(jī)技術(shù)的廣泛普及與應(yīng)用,隨著數(shù)字電子技術(shù)及計(jì)算機(jī)技術(shù)的廣泛普及與應(yīng)用,數(shù)字信號(hào)的傳輸與處理日趨普遍。數(shù)字信號(hào)的傳輸與處理日趨普遍。自然形態(tài)下的物理量多以自然形態(tài)下的物理量多以模擬量形式模擬量形式存在,如存在,如溫度、溫度、濕度、壓力、流量、速度濕度、壓力、流量、速度等,實(shí)際生產(chǎn)、生活和科學(xué)等,實(shí)際生產(chǎn)、生活和科學(xué)實(shí)驗(yàn)中還會(huì)遇到實(shí)驗(yàn)中還會(huì)遇到化學(xué)量化學(xué)量、生物量生物量(包括

4、醫(yī)學(xué)包括醫(yī)學(xué))等。等。從信號(hào)工程的角度來看,要進(jìn)行信號(hào)的計(jì)算機(jī)處理,從信號(hào)工程的角度來看,要進(jìn)行信號(hào)的計(jì)算機(jī)處理,上述所有的物理量、化學(xué)量和生物量等都需要使用相上述所有的物理量、化學(xué)量和生物量等都需要使用相應(yīng)的傳感器,將其轉(zhuǎn)換成應(yīng)的傳感器,將其轉(zhuǎn)換成電信號(hào)電信號(hào)(模擬量模擬量)。將模擬量轉(zhuǎn)換為計(jì)算機(jī)能夠識(shí)別處理的將模擬量轉(zhuǎn)換為計(jì)算機(jī)能夠識(shí)別處理的數(shù)字量數(shù)字量,而后,而后再進(jìn)行信號(hào)的傳輸、處理、存儲(chǔ)、顯示和控制。再進(jìn)行信號(hào)的傳輸、處理、存儲(chǔ)、顯示和控制。5第第9章章 模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器同樣,計(jì)算機(jī)控制外部設(shè)備時(shí),如電動(dòng)調(diào)節(jié)閥、調(diào)同樣,計(jì)算機(jī)控制外部設(shè)備時(shí),如電動(dòng)調(diào)節(jié)閥、

5、調(diào)速系統(tǒng)等,需要將計(jì)算機(jī)輸出的數(shù)字信號(hào)變換成外速系統(tǒng)等,需要將計(jì)算機(jī)輸出的數(shù)字信號(hào)變換成外設(shè)能夠接受的模擬信號(hào)。設(shè)能夠接受的模擬信號(hào)。將模擬量轉(zhuǎn)換成數(shù)字量的器件稱為將模擬量轉(zhuǎn)換成數(shù)字量的器件稱為模數(shù)轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器(Analog to Digital Converter,ADC),也稱為),也稱為A/D轉(zhuǎn)換器轉(zhuǎn)換器或者或者ADC器件;器件;將數(shù)字量轉(zhuǎn)換成模擬量的器件稱為將數(shù)字量轉(zhuǎn)換成模擬量的器件稱為數(shù)模轉(zhuǎn)換器數(shù)模轉(zhuǎn)換器(Digital to Analog Converter,DAC),也稱為),也稱為D/A轉(zhuǎn)換器轉(zhuǎn)換器。6第第9章章 模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器以單片機(jī)為核心,

6、具有以單片機(jī)為核心,具有模擬量輸入和輸出模擬量輸入和輸出的應(yīng)用的應(yīng)用系統(tǒng)結(jié)構(gòu)如圖所示。系統(tǒng)結(jié)構(gòu)如圖所示。圖圖9-1 具有模擬量輸入輸出的單片機(jī)系統(tǒng)具有模擬量輸入輸出的單片機(jī)系統(tǒng) 7第第9章章 模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器傳感器和變送器的區(qū)別傳感器和變送器的區(qū)別檢測(cè)儀表在模擬電子技術(shù)條件下,一般是包括檢測(cè)儀表在模擬電子技術(shù)條件下,一般是包括傳傳感器感器、檢測(cè)點(diǎn)取樣設(shè)備檢測(cè)點(diǎn)取樣設(shè)備及及放大器放大器(進(jìn)行抗干擾處(進(jìn)行抗干擾處理及信號(hào)傳輸),當(dāng)然還有電源及現(xiàn)場(chǎng)顯示部分理及信號(hào)傳輸),當(dāng)然還有電源及現(xiàn)場(chǎng)顯示部分(可選擇)。(可選擇)。傳感器傳感器是一種是一種把非電量轉(zhuǎn)變成電信號(hào)把非電

7、量轉(zhuǎn)變成電信號(hào)的器件。的器件。電信號(hào)一般分為電信號(hào)一般分為連續(xù)量、離散量連續(xù)量、離散量?jī)煞N,實(shí)際上還兩種,實(shí)際上還可分成可分成模擬量模擬量、開關(guān)量開關(guān)量、脈沖量脈沖量等。等。8第第9章章 模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器傳感器和變送器的區(qū)別傳感器和變送器的區(qū)別模擬信號(hào)一般采用模擬信號(hào)一般采用4-20mA DC的標(biāo)準(zhǔn)信號(hào)傳輸。的標(biāo)準(zhǔn)信號(hào)傳輸。數(shù)字化過程中,常常把數(shù)字化過程中,常常把傳感器和微處理器及通信傳感器和微處理器及通信網(wǎng)絡(luò)接口封裝在一個(gè)器件網(wǎng)絡(luò)接口封裝在一個(gè)器件(稱為(稱為檢測(cè)儀表檢測(cè)儀表)中,)中,完成信息獲取、處理、傳輸、存貯等功能。完成信息獲取、處理、傳輸、存貯等功能。在

8、自動(dòng)化儀表中經(jīng)常把在自動(dòng)化儀表中經(jīng)常把檢測(cè)儀表稱為變送器檢測(cè)儀表稱為變送器,如,如溫度變送器、壓力變送器等。溫度變送器、壓力變送器等。9第第9章章 模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器與數(shù)模轉(zhuǎn)換器本章內(nèi)容安排本章內(nèi)容安排本章首先介紹本章首先介紹模數(shù)轉(zhuǎn)換器模數(shù)轉(zhuǎn)換器的工作原理及性能指標(biāo);的工作原理及性能指標(biāo);然后介紹模數(shù)轉(zhuǎn)換器然后介紹模數(shù)轉(zhuǎn)換器ADS7852與單片機(jī)的與單片機(jī)的接口方接口方法及編程應(yīng)用法及編程應(yīng)用,介紹,介紹IAP15W4K58S4單片機(jī)片內(nèi)單片機(jī)片內(nèi)集成模數(shù)轉(zhuǎn)換模塊的使用;集成模數(shù)轉(zhuǎn)換模塊的使用;最后介紹最后介紹數(shù)模轉(zhuǎn)換器數(shù)模轉(zhuǎn)換器TLV5616與單片機(jī)的與單片機(jī)的接口方接口方法及

9、編程應(yīng)用法及編程應(yīng)用。109.1模數(shù)轉(zhuǎn)換器的工作原理及性能指標(biāo)9.1.1 模數(shù)轉(zhuǎn)換器的工作原理模數(shù)轉(zhuǎn)換器的工作原理根據(jù)轉(zhuǎn)換的工作原理不同,模數(shù)轉(zhuǎn)換器可以分為根據(jù)轉(zhuǎn)換的工作原理不同,模數(shù)轉(zhuǎn)換器可以分為計(jì)數(shù)計(jì)數(shù)-比較式比較式、逐次逼近式逐次逼近式和和雙斜率積分式雙斜率積分式。計(jì)數(shù)計(jì)數(shù)-比較式比較式模數(shù)轉(zhuǎn)換器結(jié)構(gòu)簡(jiǎn)單,價(jià)格便宜,轉(zhuǎn)模數(shù)轉(zhuǎn)換器結(jié)構(gòu)簡(jiǎn)單,價(jià)格便宜,轉(zhuǎn)換速度慢,較少采用。換速度慢,較少采用。下面下面主要介紹逐次逼近式主要介紹逐次逼近式和和雙斜率積分式雙斜率積分式模數(shù)轉(zhuǎn)模數(shù)轉(zhuǎn)換器的工作原理。換器的工作原理。111、逐次逼近式模數(shù)轉(zhuǎn)換器的工作原理、逐次逼近式模數(shù)轉(zhuǎn)換器的工作原理 逐次逼近式模數(shù)

10、轉(zhuǎn)換器主要由逐次逼近式模數(shù)轉(zhuǎn)換器主要由逐次逼近寄存器逐次逼近寄存器SAR, 數(shù)數(shù)字字/電壓轉(zhuǎn)換器電壓轉(zhuǎn)換器, 比較器比較器, 時(shí)序及控制邏輯時(shí)序及控制邏輯等部分組成。等部分組成。(Successive Approximation Register)圖圖9-2 逐次逼近式模數(shù)轉(zhuǎn)換器的工作原理逐次逼近式模數(shù)轉(zhuǎn)換器的工作原理1 0 0 0 0 0 0 0SAR 1 Vx Vc?輸出為邏輯輸出為邏輯1?(或或0)Yes 保留保留1 No10 1 3.8VC2H121、逐次逼近式模數(shù)轉(zhuǎn)換器的工作原理、逐次逼近式模數(shù)轉(zhuǎn)換器的工作原理工作過程工作過程當(dāng)模數(shù)轉(zhuǎn)換器收到當(dāng)模數(shù)轉(zhuǎn)換器收到“轉(zhuǎn)換命令轉(zhuǎn)換命令” 并清

11、除并清除SAR寄存器后寄存器后,控制電路先設(shè)定控制電路先設(shè)定SAR 中最高位為中最高位為“1” , 其余位為其余位為“0” , 此預(yù)測(cè)數(shù)據(jù)被送至此預(yù)測(cè)數(shù)據(jù)被送至D/A轉(zhuǎn)換器轉(zhuǎn)換器, 轉(zhuǎn)換成電壓轉(zhuǎn)換成電壓Vc。然后將然后將Vc與輸入模擬電壓與輸入模擬電壓Vx在高增益的比較器中進(jìn)行在高增益的比較器中進(jìn)行比較,比較器的的輸出為邏輯比較,比較器的的輸出為邏輯0或邏輯或邏輯1。如果如果VxVc,說明此位置,說明此位置 “1”是對(duì)的,應(yīng)予保留;如是對(duì)的,應(yīng)予保留;如果果VxVc,說明此位置,說明此位置“1” 不合適,應(yīng)予清除。不合適,應(yīng)予清除。按該方法繼續(xù)對(duì)次高位進(jìn)行轉(zhuǎn)換、比較和判斷,決定按該方法繼續(xù)對(duì)次

12、高位進(jìn)行轉(zhuǎn)換、比較和判斷,決定次高位應(yīng)取次高位應(yīng)取“1” 還是取還是取“0” 。重復(fù)上述過程,直至確定重復(fù)上述過程,直至確定SAR最低位為止。最低位為止。該過程完成后,狀態(tài)線改變狀態(tài),表示已完成一次完該過程完成后,狀態(tài)線改變狀態(tài),表示已完成一次完整的轉(zhuǎn)換,整的轉(zhuǎn)換,SAR中的內(nèi)容就是與輸入的模擬電壓對(duì)應(yīng)中的內(nèi)容就是與輸入的模擬電壓對(duì)應(yīng)的二進(jìn)制數(shù)字代碼。的二進(jìn)制數(shù)字代碼。132、雙積分式模數(shù)轉(zhuǎn)換器的工作原理、雙積分式模數(shù)轉(zhuǎn)換器的工作原理雙積分模數(shù)轉(zhuǎn)換器的組成框圖如圖所示。雙積分模數(shù)轉(zhuǎn)換器的組成框圖如圖所示。圖圖9-3 雙積分式模數(shù)轉(zhuǎn)換器的組成框圖雙積分式模數(shù)轉(zhuǎn)換器的組成框圖tt1T2T1t2t2

13、t2T1iiUu=1OU1OUouOOC PIUiu=212inuTNTVREF2nNiuSS閉合放電后再打開閉合放電后再打開從從0計(jì)數(shù)到計(jì)數(shù)到2n溢出溢出K向上閉合向上閉合K電容充電電容充電負(fù)1OU+10OU20OUK向下閉合向下閉合0電容放電電容放電又從又從0計(jì)數(shù)計(jì)數(shù)到到N20OU計(jì)數(shù)停止計(jì)數(shù)停止VREF正+142、雙積分式模數(shù)轉(zhuǎn)換器的工作原理、雙積分式模數(shù)轉(zhuǎn)換器的工作原理雙積分模數(shù)轉(zhuǎn)換器的組成框圖如圖所示。雙積分模數(shù)轉(zhuǎn)換器的組成框圖如圖所示。圖圖9-3 雙積分式模數(shù)轉(zhuǎn)換器的組成框圖雙積分式模數(shù)轉(zhuǎn)換器的組成框圖tt1T2T1t2t2t2T1iiUu=1OU1OUouOOC PIUiu=21

14、2REFinuTNTV2nNiuSS閉合放電后再打開閉合放電后再打開從從0計(jì)數(shù)到計(jì)數(shù)到2n溢出溢出K向上閉合向上閉合K電容充電電容充電負(fù)1OU+10OU20OUK向下閉合向下閉合0電容放電電容放電又從又從0計(jì)數(shù)計(jì)數(shù)到到N20OU計(jì)數(shù)停止計(jì)數(shù)停止VREF正+R充電電流:iuR放電電流:REF-VR固定時(shí)間:定斜率12O1iREFTTUuVRCRC159.1.1 模數(shù)轉(zhuǎn)換器的工作原理模數(shù)轉(zhuǎn)換器的工作原理2、雙積分式模數(shù)轉(zhuǎn)換器的工作原理、雙積分式模數(shù)轉(zhuǎn)換器的工作原理 雙積分式模數(shù)轉(zhuǎn)換器轉(zhuǎn)換方法的抗干擾能力比逐次雙積分式模數(shù)轉(zhuǎn)換器轉(zhuǎn)換方法的抗干擾能力比逐次逼近式模數(shù)轉(zhuǎn)換器強(qiáng)。逼近式模數(shù)轉(zhuǎn)換器強(qiáng)。該方法

15、的基礎(chǔ)是測(cè)量?jī)蓚€(gè)時(shí)間:該方法的基礎(chǔ)是測(cè)量?jī)蓚€(gè)時(shí)間:一個(gè)是模擬輸入電壓向電容充電的固定時(shí)間一個(gè)是模擬輸入電壓向電容充電的固定時(shí)間,另一個(gè)是在已知參考電壓下放電所需的時(shí)間另一個(gè)是在已知參考電壓下放電所需的時(shí)間,模擬輸入電壓與參考電壓的比值就等于上述兩個(gè)模擬輸入電壓與參考電壓的比值就等于上述兩個(gè)時(shí)間值之比。時(shí)間值之比。212inuTNTVREF162、雙積分式模數(shù)轉(zhuǎn)換器的工作原理、雙積分式模數(shù)轉(zhuǎn)換器的工作原理雙積分式模數(shù)轉(zhuǎn)換器雙積分式模數(shù)轉(zhuǎn)換器優(yōu)點(diǎn)是精度高、抗干擾能力強(qiáng),優(yōu)點(diǎn)是精度高、抗干擾能力強(qiáng),在實(shí)際工程中得到了使用在實(shí)際工程中得到了使用, 缺點(diǎn)是轉(zhuǎn)換速度慢。缺點(diǎn)是轉(zhuǎn)換速度慢。由于由于逐次逼近式

16、模數(shù)轉(zhuǎn)換器逐次逼近式模數(shù)轉(zhuǎn)換器能很好的兼顧速度和精度,能很好的兼顧速度和精度,故在故在16位以下的模數(shù)轉(zhuǎn)換器中得到了廣泛應(yīng)用。位以下的模數(shù)轉(zhuǎn)換器中得到了廣泛應(yīng)用。還有還有并聯(lián)比較型并聯(lián)比較型ADC采用各量級(jí)同時(shí)并行比較采用各量級(jí)同時(shí)并行比較, 各位各位輸出碼同時(shí)并行產(chǎn)生輸出碼同時(shí)并行產(chǎn)生, 轉(zhuǎn)換速度快是它的突出優(yōu)點(diǎn)轉(zhuǎn)換速度快是它的突出優(yōu)點(diǎn)。并聯(lián)比較型并聯(lián)比較型ADC的缺點(diǎn)是成本高、功耗大。的缺點(diǎn)是成本高、功耗大。因?yàn)橐驗(yàn)閚位輸出的位輸出的ADC, 需要需要2n個(gè)電阻個(gè)電阻, (2n1) 個(gè)比較個(gè)比較器和器和D觸發(fā)器觸發(fā)器, 以及復(fù)雜的編碼網(wǎng)絡(luò)以及復(fù)雜的編碼網(wǎng)絡(luò), 其元件數(shù)量隨位其元件數(shù)量隨位數(shù)

17、的增加數(shù)的增加, 以幾何級(jí)數(shù)上升。所以這種以幾何級(jí)數(shù)上升。所以這種ADC適用于要適用于要求高速、低分辯率的場(chǎng)合。求高速、低分辯率的場(chǎng)合。179.1.2模數(shù)轉(zhuǎn)換器的性能指標(biāo)模數(shù)轉(zhuǎn)換器的性能指標(biāo)A/D轉(zhuǎn)換器是實(shí)現(xiàn)單片機(jī)轉(zhuǎn)換器是實(shí)現(xiàn)單片機(jī)數(shù)據(jù)采集數(shù)據(jù)采集的常用的常用外圍器件外圍器件。A/D轉(zhuǎn)換器的品種繁多轉(zhuǎn)換器的品種繁多, 性能各異性能各異, 設(shè)計(jì)數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)數(shù)據(jù)采集系統(tǒng)時(shí)時(shí), 需選擇合適的需選擇合適的A/D轉(zhuǎn)換器以滿足系統(tǒng)設(shè)計(jì)要求。轉(zhuǎn)換器以滿足系統(tǒng)設(shè)計(jì)要求。選擇選擇A/D轉(zhuǎn)換器需要綜合考慮系統(tǒng)轉(zhuǎn)換器需要綜合考慮系統(tǒng)技術(shù)指標(biāo)技術(shù)指標(biāo)、成本成本、功耗功耗、安裝安裝等因素。等因素。1、分辨率、分辨率

18、分辨率是分辨率是A/D轉(zhuǎn)換器能轉(zhuǎn)換器能分辨最小信號(hào)的能力分辨最小信號(hào)的能力, 表示數(shù)字表示數(shù)字量量變化一個(gè)相鄰數(shù)碼變化一個(gè)相鄰數(shù)碼所需輸入所需輸入模擬電壓的變化量模擬電壓的變化量。分辨率越高,轉(zhuǎn)換時(shí)對(duì)輸入模擬信號(hào)變化的反應(yīng)就越分辨率越高,轉(zhuǎn)換時(shí)對(duì)輸入模擬信號(hào)變化的反應(yīng)就越靈敏。靈敏。181、分辨率、分辨率例如,例如,8位位A/D轉(zhuǎn)換器能夠分辨出滿刻度的轉(zhuǎn)換器能夠分辨出滿刻度的1/256,若滿刻度輸入電壓為若滿刻度輸入電壓為5V,則該,則該8位位A/D轉(zhuǎn)換器能夠轉(zhuǎn)換器能夠分辨出輸入電壓變化的最小值為分辨出輸入電壓變化的最小值為19.5mV。分辨率常用分辨率常用A/D轉(zhuǎn)換器輸出的二進(jìn)制位數(shù)表示轉(zhuǎn)換

19、器輸出的二進(jìn)制位數(shù)表示。常。常見的見的A/D轉(zhuǎn)換器有轉(zhuǎn)換器有8位位、10位位、12位位、14位位和和16位位等。等。一般稱一般稱8位以下的位以下的ADC器件為低分辨率器件為低分辨率ADC器件;器件;912位的位的ADC器件稱為中分辨率器件稱為中分辨率ADC器件;器件;13位以上的位以上的ADC器件稱為高分辨率器件稱為高分辨率ADC器件。器件。19如何選擇如何選擇ADC器件的分辨率器件的分辨率10位以下位以下ADC器件誤差較大,器件誤差較大,11位以上對(duì)減小誤差位以上對(duì)減小誤差并無太大貢獻(xiàn),但對(duì)并無太大貢獻(xiàn),但對(duì)ADC器件的要求卻提得過高。器件的要求卻提得過高。因此,取因此,取10位或位或11位

20、是合適的。位是合適的。由于模擬信號(hào)由于模擬信號(hào)先經(jīng)過測(cè)量裝置先經(jīng)過測(cè)量裝置,再經(jīng)再經(jīng)A/D轉(zhuǎn)換器轉(zhuǎn)轉(zhuǎn)換器轉(zhuǎn)換換后才進(jìn)行處理,因此,后才進(jìn)行處理,因此,總的誤差總的誤差是由是由測(cè)量誤差測(cè)量誤差和和量化誤差量化誤差共同構(gòu)成的。共同構(gòu)成的。A/D轉(zhuǎn)換器的精度應(yīng)與測(cè)量裝置的精度相匹配轉(zhuǎn)換器的精度應(yīng)與測(cè)量裝置的精度相匹配。一方面要求量化誤差在總誤差中所占的比重要小,一方面要求量化誤差在總誤差中所占的比重要小,使它不顯著地?cái)U(kuò)大測(cè)量誤差;使它不顯著地?cái)U(kuò)大測(cè)量誤差;另一方面必須根據(jù)目前測(cè)量裝置的精度水平,對(duì)另一方面必須根據(jù)目前測(cè)量裝置的精度水平,對(duì)A/D轉(zhuǎn)換器的位數(shù)提出恰當(dāng)?shù)囊?。轉(zhuǎn)換器的位數(shù)提出恰當(dāng)?shù)囊蟆?/p>

21、209.1.2模數(shù)轉(zhuǎn)換器的性能指標(biāo)模數(shù)轉(zhuǎn)換器的性能指標(biāo)2、通道、通道有的單芯片內(nèi)部含有多個(gè)有的單芯片內(nèi)部含有多個(gè)ADC模塊,可同時(shí)實(shí)現(xiàn)模塊,可同時(shí)實(shí)現(xiàn)多路信號(hào)的轉(zhuǎn)換;多路信號(hào)的轉(zhuǎn)換;常見的多路常見的多路ADC器件只有一個(gè)公共的器件只有一個(gè)公共的ADC模塊,模塊,由一個(gè)多路轉(zhuǎn)換開關(guān)實(shí)現(xiàn)分時(shí)轉(zhuǎn)換。由一個(gè)多路轉(zhuǎn)換開關(guān)實(shí)現(xiàn)分時(shí)轉(zhuǎn)換。3、基準(zhǔn)電壓基準(zhǔn)電壓基準(zhǔn)電壓有內(nèi)、外基準(zhǔn)和單、雙基準(zhǔn)之分?;鶞?zhǔn)電壓有內(nèi)、外基準(zhǔn)和單、雙基準(zhǔn)之分。219.1.2模數(shù)轉(zhuǎn)換器的性能指標(biāo)模數(shù)轉(zhuǎn)換器的性能指標(biāo)4、轉(zhuǎn)換速率、轉(zhuǎn)換速率轉(zhuǎn)換時(shí)間轉(zhuǎn)換時(shí)間:A/D轉(zhuǎn)換器從啟動(dòng)轉(zhuǎn)換到轉(zhuǎn)換結(jié)束,輸出轉(zhuǎn)換器從啟動(dòng)轉(zhuǎn)換到轉(zhuǎn)換結(jié)束,輸出穩(wěn)定的數(shù)字量,

22、需要的一定的轉(zhuǎn)換時(shí)間。穩(wěn)定的數(shù)字量,需要的一定的轉(zhuǎn)換時(shí)間。轉(zhuǎn)換速率:轉(zhuǎn)換速率:轉(zhuǎn)換時(shí)間的倒數(shù)即每秒鐘完成的轉(zhuǎn)換次數(shù)。轉(zhuǎn)換時(shí)間的倒數(shù)即每秒鐘完成的轉(zhuǎn)換次數(shù)。A/D轉(zhuǎn)換器的型號(hào)不同,轉(zhuǎn)換時(shí)間不同。逐次逼近式轉(zhuǎn)換器的型號(hào)不同,轉(zhuǎn)換時(shí)間不同。逐次逼近式單片單片A/D轉(zhuǎn)換器轉(zhuǎn)換時(shí)間的典型值為轉(zhuǎn)換器轉(zhuǎn)換時(shí)間的典型值為1.0200s。應(yīng)根據(jù)輸入信號(hào)的最高頻率來確定應(yīng)根據(jù)輸入信號(hào)的最高頻率來確定ADC轉(zhuǎn)換速度,轉(zhuǎn)換速度,保證轉(zhuǎn)換器的保證轉(zhuǎn)換器的轉(zhuǎn)換速率要高于轉(zhuǎn)換速率要高于系統(tǒng)要求的系統(tǒng)要求的采樣頻率采樣頻率。ADS7852: 12位逐次逼近式位逐次逼近式A/D轉(zhuǎn)換器轉(zhuǎn)換器轉(zhuǎn)換速率轉(zhuǎn)換速率 500kHzICL7

23、109: 12位雙積分位雙積分式式A/D轉(zhuǎn)轉(zhuǎn)換換器器轉(zhuǎn)換速率轉(zhuǎn)換速率30次次/s229.1.2模數(shù)轉(zhuǎn)換器的性能指標(biāo)模數(shù)轉(zhuǎn)換器的性能指標(biāo)確定確定A/D轉(zhuǎn)換器的轉(zhuǎn)換速率時(shí),應(yīng)轉(zhuǎn)換器的轉(zhuǎn)換速率時(shí),應(yīng)考慮系統(tǒng)的采樣考慮系統(tǒng)的采樣速率速率。例如,如果用轉(zhuǎn)換時(shí)間為例如,如果用轉(zhuǎn)換時(shí)間為100s的的A/D轉(zhuǎn)換器,則其轉(zhuǎn)換器,則其轉(zhuǎn)換速率為轉(zhuǎn)換速率為10KHz。根據(jù)采樣定理和實(shí)際需要,一個(gè)周期的波形需采根據(jù)采樣定理和實(shí)際需要,一個(gè)周期的波形需采10個(gè)樣點(diǎn),那么這樣的個(gè)樣點(diǎn),那么這樣的A/D轉(zhuǎn)換器最高也只能處理頻轉(zhuǎn)換器最高也只能處理頻率為率為1KHz的模擬信號(hào)。的模擬信號(hào)。對(duì)一般單片機(jī)而言,在如此高的采樣頻率下

24、,要在對(duì)一般單片機(jī)而言,在如此高的采樣頻率下,要在采樣時(shí)間內(nèi)完成采樣時(shí)間內(nèi)完成A/D轉(zhuǎn)換以外的工作,如讀取數(shù)據(jù)、轉(zhuǎn)換以外的工作,如讀取數(shù)據(jù)、再啟動(dòng)、保存數(shù)據(jù)、循環(huán)計(jì)數(shù)等已經(jīng)比較困難了。再啟動(dòng)、保存數(shù)據(jù)、循環(huán)計(jì)數(shù)等已經(jīng)比較困難了。239.1.2模數(shù)轉(zhuǎn)換器的性能指標(biāo)模數(shù)轉(zhuǎn)換器的性能指標(biāo)5、采樣、采樣/保持器保持器采樣采樣/保持也稱為跟蹤保持也稱為跟蹤/保持保持(Track/Hold縮寫縮寫T/H)。原則上采集直流和變化非常緩慢的模擬信號(hào)時(shí)可原則上采集直流和變化非常緩慢的模擬信號(hào)時(shí)可不用采樣保持器。不用采樣保持器。對(duì)于其他模擬信號(hào)一般都要加采樣保持器。如果對(duì)于其他模擬信號(hào)一般都要加采樣保持器。如果信

25、號(hào)頻率不高,信號(hào)頻率不高,A/D轉(zhuǎn)換器的轉(zhuǎn)換時(shí)間短,即使用轉(zhuǎn)換器的轉(zhuǎn)換時(shí)間短,即使用高速高速A/D轉(zhuǎn)換器時(shí),也可不用采樣轉(zhuǎn)換器時(shí),也可不用采樣/保持器。保持器。249.1.2模數(shù)轉(zhuǎn)換器的性能指標(biāo)模數(shù)轉(zhuǎn)換器的性能指標(biāo)6、量程、量程量程即所能轉(zhuǎn)換的電壓范圍,如量程即所能轉(zhuǎn)換的電壓范圍,如2.5V、5V和和10V。7、滿刻度誤差、滿刻度誤差滿度輸出時(shí)對(duì)應(yīng)的輸入信號(hào)與理想輸入信號(hào)值之差滿度輸出時(shí)對(duì)應(yīng)的輸入信號(hào)與理想輸入信號(hào)值之差稱為滿刻度誤差。稱為滿刻度誤差。8、線性度、線性度實(shí)際轉(zhuǎn)換器的轉(zhuǎn)移函數(shù)與理想直線的最大偏移稱為實(shí)際轉(zhuǎn)換器的轉(zhuǎn)移函數(shù)與理想直線的最大偏移稱為線性度。線性度。259.1.2模數(shù)轉(zhuǎn)換

26、器的性能指標(biāo)模數(shù)轉(zhuǎn)換器的性能指標(biāo)9、數(shù)字接口方式、數(shù)字接口方式根據(jù)轉(zhuǎn)換的數(shù)據(jù)輸出接口方式,根據(jù)轉(zhuǎn)換的數(shù)據(jù)輸出接口方式,A/D轉(zhuǎn)換器可以分為轉(zhuǎn)換器可以分為并行接口并行接口和和串行接口串行接口兩種方式。兩種方式。并行方式在轉(zhuǎn)換后可直接接收并行方式在轉(zhuǎn)換后可直接接收, 但芯片引腳比較多但芯片引腳比較多;串行方式所用芯片引腳少,封裝小,但需要軟件處串行方式所用芯片引腳少,封裝小,但需要軟件處理才能得到所需要的數(shù)據(jù)。理才能得到所需要的數(shù)據(jù)。單片機(jī)單片機(jī)I/O引腳不多時(shí)引腳不多時(shí), 用串行器件可節(jié)省用串行器件可節(jié)省I/O資源。資源。但是但是并行器件具有明顯的轉(zhuǎn)換速度優(yōu)勢(shì)并行器件具有明顯的轉(zhuǎn)換速度優(yōu)勢(shì)。在轉(zhuǎn)

27、換速。在轉(zhuǎn)換速度要求較高的情況下應(yīng)選用并行器件。度要求較高的情況下應(yīng)選用并行器件。269.1.2模數(shù)轉(zhuǎn)換器的性能指標(biāo)模數(shù)轉(zhuǎn)換器的性能指標(biāo)10、模擬信號(hào)類型、模擬信號(hào)類型通常通常ADC器件的模擬輸入信號(hào)都是器件的模擬輸入信號(hào)都是電壓信號(hào)電壓信號(hào)。同。同時(shí)根據(jù)信號(hào)是否過零,還分成時(shí)根據(jù)信號(hào)是否過零,還分成單極性單極性(Unipolar)信號(hào)和信號(hào)和雙極性雙極性(Bipolar)信號(hào)。)信號(hào)。11、電源電壓、電源電壓電源電壓有電源電壓有單電源單電源,雙電源雙電源和和不同電壓范圍不同電壓范圍之分,之分,早期的早期的ADC器件要有器件要有+15V/-15V,如果選用,如果選用單單+5V電源的芯片則可以使

28、用單片機(jī)系統(tǒng)電源。電源的芯片則可以使用單片機(jī)系統(tǒng)電源。279.1.2模數(shù)轉(zhuǎn)換器的性能指標(biāo)模數(shù)轉(zhuǎn)換器的性能指標(biāo)12、功耗、功耗一般一般CMOS工藝的芯片功耗較低工藝的芯片功耗較低, 對(duì)于電池供電的手持對(duì)于電池供電的手持系統(tǒng)對(duì)功耗要求比較高的場(chǎng)合一定要注意功耗指標(biāo)。系統(tǒng)對(duì)功耗要求比較高的場(chǎng)合一定要注意功耗指標(biāo)。13、封裝、封裝常見的封裝有常見的封裝有雙列直插封裝雙列直插封裝(Dual In-line Package, DIP)和和表貼型表貼型(Surface Mount Devices, SMD)封裝。封裝。28M1 M0 工作方式工作方式功能說明功能說明0 0016位自動(dòng)裝載的定時(shí)器位自動(dòng)裝載的

29、定時(shí)器/計(jì)數(shù)器計(jì)數(shù)器0 1116位定時(shí)器位定時(shí)器/計(jì)數(shù)器計(jì)數(shù)器1 02可自動(dòng)裝入的可自動(dòng)裝入的8位計(jì)數(shù)器位計(jì)數(shù)器1 1留作備用留作備用 1)M1和和M0:方式選擇控制:方式選擇控制位位表表7-1 定時(shí)定時(shí)/計(jì)數(shù)器的方式選擇計(jì)數(shù)器的方式選擇7-1-2 定時(shí)定時(shí)/計(jì)數(shù)器的相關(guān)寄存器計(jì)數(shù)器的相關(guān)寄存器位號(hào)位號(hào)D7D6D5D4D3D2D1D0定時(shí)器名定時(shí)器名定時(shí)器定時(shí)器1定時(shí)器定時(shí)器0位名稱位名稱GATE C/M1 M0 GATE C/M1M0TT1、TMOD:定時(shí)器工作方式控制寄存器:定時(shí)器工作方式控制寄存器297-2-1 可編程時(shí)鐘輸出的相關(guān)寄存器可編程時(shí)鐘輸出的相關(guān)寄存器 T0CLKO=1: 設(shè)

30、置設(shè)置P3.5為為T0的時(shí)鐘輸出的時(shí)鐘輸出引腳引腳T0CLKO 輸出頻率輸出頻率=T0溢出率溢出率/2位號(hào)位號(hào) D7 D6D5D4D3D2D1D0位名稱位名稱 - EX4EX3EX2 LVD_WAKE T2CLKO T1CLKOT0CLKO定時(shí)器T0工作在模式2 (8位自動(dòng)重裝模式)時(shí):若若C/T=0, T0對(duì)內(nèi)部系統(tǒng)時(shí)鐘計(jì)數(shù)對(duì)內(nèi)部系統(tǒng)時(shí)鐘計(jì)數(shù), 則:則: 1T模式時(shí)的模式時(shí)的輸出頻率輸出頻率=(SYSclk)/(256 - TH1)/212T模式時(shí)的模式時(shí)的輸出頻率輸出頻率=(SYSclk)/12/(256 - TH1)/2若若C/T=1, T0對(duì)外部脈沖輸入對(duì)外部脈沖輸入(P3.4/T0)

31、計(jì)數(shù)計(jì)數(shù), 則則輸出輸出時(shí)鐘時(shí)鐘頻率頻率= (T0_Pin_CLK)/(256 - TH1)/2 T0CLKO=0, 不允許將P3.5配置為定時(shí)器0的時(shí)鐘輸出。外部中斷使能和時(shí)鐘輸出寄存器外部中斷使能和時(shí)鐘輸出寄存器INT_CLKO (也稱也稱AUXR2)30 3)TR1:T1的運(yùn)行控制位。的運(yùn)行控制位??捎绍浖梦换蚯蹇捎绍浖梦换蚯?。 當(dāng)當(dāng)GATE(TMOD.7)=0,TR1=1啟動(dòng)啟動(dòng)T1開始計(jì)數(shù),開始計(jì)數(shù),TR1=0時(shí)停止時(shí)停止T1計(jì)數(shù)。計(jì)數(shù)。 當(dāng)當(dāng)GATE(TMOD.7)=1,TR1=1且且INTX輸入高電平輸入高電平時(shí),才允許時(shí),才允許T1計(jì)數(shù)。計(jì)數(shù)。 4)TR0:定時(shí)器:定時(shí)器

32、T0的運(yùn)行控制位。的運(yùn)行控制位。 含義和功能與含義和功能與TR1相似。相似。TCON定時(shí)器控制寄存器定時(shí)器控制寄存器位號(hào)位號(hào)D7D6D5D4D3D2D1D0位名稱位名稱TF1 TR1 TF0 TR0 IE1IT1IE0IT07.1.1定時(shí)定時(shí)/計(jì)數(shù)器的結(jié)構(gòu)及工作原理計(jì)數(shù)器的結(jié)構(gòu)及工作原理31TCON定時(shí)器控制寄存器定時(shí)器控制寄存器位號(hào)位號(hào)D7D6D5D4D3D2D1D0位名稱位名稱TF1 TR1 TF0 TR0 IE1IT1IE0IT0TCON(地址為地址為88H,復(fù)位值為復(fù)位值為00H)寄存器的格式如下:寄存器的格式如下: 1)TF1:T1溢出標(biāo)志位。溢出標(biāo)志位。 T1啟動(dòng)計(jì)數(shù)后,最高位產(chǎn)生

33、溢出時(shí),啟動(dòng)計(jì)數(shù)后,最高位產(chǎn)生溢出時(shí),TF1由硬件置由硬件置1,向向CPU請(qǐng)求中斷,當(dāng)請(qǐng)求中斷,當(dāng)CPU響應(yīng)中斷時(shí),由硬件清響應(yīng)中斷時(shí),由硬件清0。TF1也可以由程序查詢或清也可以由程序查詢或清0。 2)TF0:定時(shí)器:定時(shí)器/計(jì)數(shù)器計(jì)數(shù)器0溢出標(biāo)志位溢出標(biāo)志位。 含義和功能與含義和功能與TF1相似。相似。7.1.1定時(shí)定時(shí)/計(jì)數(shù)器的結(jié)構(gòu)及工作原理計(jì)數(shù)器的結(jié)構(gòu)及工作原理329.2 IAP15W4K58S4單片機(jī)片內(nèi)集成的模單片機(jī)片內(nèi)集成的模擬量模塊擬量模塊 本節(jié)首先介紹本節(jié)首先介紹IAP15W4K58S4單片機(jī)片內(nèi)集單片機(jī)片內(nèi)集成的成的ADC模塊的結(jié)構(gòu)和使用方法,然后介紹模塊的結(jié)構(gòu)和使用方法,

34、然后介紹IAP15W4K58S4單片機(jī)片內(nèi)集成的比較器及其使用。單片機(jī)片內(nèi)集成的比較器及其使用。339.2.1 IAP15W4K58S4集成集成A/D模塊的結(jié)構(gòu)及其使用模塊的結(jié)構(gòu)及其使用IAP15W4K58S4單片機(jī)集成有單片機(jī)集成有8路路10位高速電壓輸入位高速電壓輸入型模數(shù)轉(zhuǎn)換器型模數(shù)轉(zhuǎn)換器(ADC), 速度可達(dá)速度可達(dá)300KHz(30萬次萬次/秒秒), 可做溫度檢測(cè)、壓力檢測(cè)、電池電壓檢測(cè)、按鍵掃可做溫度檢測(cè)、壓力檢測(cè)、電池電壓檢測(cè)、按鍵掃描、頻譜檢測(cè)等。描、頻譜檢測(cè)等。1、模數(shù)轉(zhuǎn)換器的結(jié)構(gòu)及相關(guān)寄存器模數(shù)轉(zhuǎn)換器的結(jié)構(gòu)及相關(guān)寄存器IAP15W4K58S4集成集成8通道通道10位模數(shù)轉(zhuǎn)換

35、器位模數(shù)轉(zhuǎn)換器(ADC) 。ADC輸入通道與輸入通道與P1口復(fù)用口復(fù)用,上電復(fù)位后,上電復(fù)位后P1口為弱上口為弱上拉型拉型I/O口,用戶可通過軟件設(shè)置將口,用戶可通過軟件設(shè)置將8路中的任何一路中的任何一路設(shè)置為路設(shè)置為ADC功能,不作為功能,不作為ADC使用的口可繼續(xù)作使用的口可繼續(xù)作為為I/O口使用??谑褂?。34(1)模數(shù)轉(zhuǎn)換器的結(jié)構(gòu))模數(shù)轉(zhuǎn)換器的結(jié)構(gòu)結(jié)構(gòu)如圖所示。結(jié)構(gòu)如圖所示。ADC控制寄存器控制寄存器ADC_CONTRP1ASF寄存寄存器控制器控制P1口口的模擬功能的模擬功能圖圖9-4 IAP15W4K58S4單片單片機(jī)機(jī)ADC結(jié)構(gòu)圖結(jié)構(gòu)圖 位號(hào)位號(hào)D7D6D5D4D3D2D1D0名稱名

36、稱 P17ASF P16ASF P15ASF P14ASF P13ASF P12ASF P11ASF P10ASF控制控制時(shí)鐘時(shí)鐘速度速度啟啟動(dòng)動(dòng)轉(zhuǎn)轉(zhuǎn)換換轉(zhuǎn)轉(zhuǎn)換換完完標(biāo)標(biāo)志志選擇通道選擇通道開關(guān)電開關(guān)電源源35(1)模數(shù)轉(zhuǎn)換器的結(jié)構(gòu))模數(shù)轉(zhuǎn)換器的結(jié)構(gòu)IAP15W4K58S4的的ADC組成組成多路選擇開關(guān)多路選擇開關(guān)比較器比較器逐次比較寄存器逐次比較寄存器SAR10位位DAC轉(zhuǎn)換結(jié)果寄存器(轉(zhuǎn)換結(jié)果寄存器(ADC_RES和和ADC_RESL)ADC控制寄存器控制寄存器ADC_CONTR36(1)模數(shù)轉(zhuǎn)換器的結(jié)構(gòu))模數(shù)轉(zhuǎn)換器的結(jié)構(gòu)IAP15W4K58S4的的ADC轉(zhuǎn)換原理轉(zhuǎn)換原理IAP15W4K5

37、8S4的的ADC是逐次比較型模數(shù)轉(zhuǎn)換器。是逐次比較型模數(shù)轉(zhuǎn)換器。逐次比較型逐次比較型ADC由一個(gè)比較器和由一個(gè)比較器和D/A轉(zhuǎn)換器構(gòu)成,轉(zhuǎn)換器構(gòu)成,對(duì)對(duì)每一輸入電壓每一輸入電壓(AD0AD7), 通過逐次比較邏輯通過逐次比較邏輯, 從從SAR的的最高位最高位(MSB)開始開始, 順序順序預(yù)設(shè)置預(yù)設(shè)置1, 然后將然后將內(nèi)置內(nèi)置D/A轉(zhuǎn)換器轉(zhuǎn)換器相應(yīng)的相應(yīng)的輸出與輸入電壓進(jìn)行比較,輸出與輸入電壓進(jìn)行比較,根據(jù)比較結(jié)果決定置根據(jù)比較結(jié)果決定置1的預(yù)設(shè)是否保留。的預(yù)設(shè)是否保留。經(jīng)多次比較,使轉(zhuǎn)換所得的數(shù)字量逐次逼近輸入經(jīng)多次比較,使轉(zhuǎn)換所得的數(shù)字量逐次逼近輸入模擬量對(duì)應(yīng)值。模擬量對(duì)應(yīng)值。371、模數(shù)轉(zhuǎn)

38、換器的結(jié)構(gòu)及相關(guān)寄存器模數(shù)轉(zhuǎn)換器的結(jié)構(gòu)及相關(guān)寄存器 (2)參考電壓源)參考電壓源IAP15W4K58S4單片機(jī)單片機(jī)ADC模塊的參考電壓源是模塊的參考電壓源是輸入工作電壓輸入工作電壓Vcc,一般不用外接參考電壓源。,一般不用外接參考電壓源。如果如果Vcc不穩(wěn)定(例如電池供電的系統(tǒng)中,電池電不穩(wěn)定(例如電池供電的系統(tǒng)中,電池電壓常常在壓常常在5.3V-4.2V之間漂移),之間漂移), 則可以在則可以在8路路A/D轉(zhuǎn)換的一個(gè)通道外接一個(gè)穩(wěn)定的參考電壓源,轉(zhuǎn)換的一個(gè)通道外接一個(gè)穩(wěn)定的參考電壓源,計(jì)算出此時(shí)的工作電壓計(jì)算出此時(shí)的工作電壓Vcc,再計(jì)算出其他幾路,再計(jì)算出其他幾路A/D轉(zhuǎn)換通道的電壓。轉(zhuǎn)

39、換通道的電壓。38(3)與)與ADC有關(guān)的特殊功能寄存有關(guān)的特殊功能寄存器器表表9-1 新出現(xiàn)的與新出現(xiàn)的與ADC模塊有關(guān)的特殊功能寄存器模塊有關(guān)的特殊功能寄存器寄存器寄存器 地址地址D7D6D5D4D3D2D1D0P1ASF 9DH P17ASF P16ASFP15ASFP14ASFP13ASFP12ASF P11ASF P10ASFADC_CONTRBCHADC_POWERSPEED1SPEED0ADC_FLAGADC_STARTCHS2CHS1CHS0ADC_RESBDHADC_RESLBEHCLK_DIV97HMCKO_S1MCKO_S0ADRJTx_Rx-CLKS2 CLKS1 CL

40、KS0391)P1口模擬功能控制寄存器口模擬功能控制寄存器P1ASFP1ASF (地址為地址為9DH, 復(fù)位值為復(fù)位值為00H)各位定義如下:各位定義如下:位號(hào)位號(hào)D7D6D5D4D3D2D1D0名稱名稱 P17ASF P16ASF P15ASF P14ASF P13ASF P12ASFP11ASFP10ASF若要用若要用P1相應(yīng)口相應(yīng)口P1.x的的模擬功能模擬功能, 需將需將P1ASF特殊功能特殊功能寄存器中的寄存器中的相應(yīng)位置為相應(yīng)位置為1。如如, 若要用若要用P1.6的模擬量的模擬量功能功能, 則需將則需將P16ASF置為置為1。注意注意: P1ASF寄存器寄存器不能位尋址不能位尋址,

41、可用匯編語言指令可用匯編語言指令ORL P1ASF, #40H, 也可用也可用C語言語句語言語句P1ASF |= 0 x40;(3)與)與ADC有關(guān)的特殊功能寄存器有關(guān)的特殊功能寄存器P1 Analog Special Function Configure register(該寄存器是只寫寄存器該寄存器是只寫寄存器,讀無效讀無效)40(3)與)與ADC有關(guān)的特殊功能寄存器有關(guān)的特殊功能寄存器2)ADC控制寄存器控制寄存器ADC_CONTRADC_CONTR(地址為地址為BCH,復(fù)位值為復(fù)位值為00H)各位定義:各位定義:位號(hào)位號(hào)D7D6D5D4D3D2D1D0名稱名稱ADC_POWERSPEE

42、D1SPEED0ADC_FLAGADC_STARTCHS2 CHS1CHS0ADC_POWER:ADC電源控制位電源控制位。0:關(guān)閉:關(guān)閉ADC電源。電源。1:打開:打開ADC電源。電源。412)ADC控制寄存器控制寄存器ADC_CONTR 說明說明建議進(jìn)入空閑模式前,將建議進(jìn)入空閑模式前,將ADC電源關(guān)閉,即電源關(guān)閉,即ADC_POWER =0。啟動(dòng)啟動(dòng)A/D轉(zhuǎn)換前一定要確認(rèn)轉(zhuǎn)換前一定要確認(rèn)ADC電源已打開電源已打開,A/D轉(zhuǎn)轉(zhuǎn)換結(jié)束后關(guān)閉換結(jié)束后關(guān)閉ADC電源可降低功耗,也可不關(guān)閉。電源可降低功耗,也可不關(guān)閉。初次打開內(nèi)部初次打開內(nèi)部ADC轉(zhuǎn)換模擬電源,需適當(dāng)延時(shí)轉(zhuǎn)換模擬電源,需適當(dāng)延時(shí),

43、等,等內(nèi)部模擬電源穩(wěn)定后,再啟動(dòng)內(nèi)部模擬電源穩(wěn)定后,再啟動(dòng)A/D轉(zhuǎn)換。轉(zhuǎn)換。建議啟動(dòng)建議啟動(dòng)A/D轉(zhuǎn)換后,轉(zhuǎn)換后,在在A/D轉(zhuǎn)換結(jié)束之前,不改變轉(zhuǎn)換結(jié)束之前,不改變?nèi)魏稳魏蜪/O口口的狀態(tài)的狀態(tài),有利于提高,有利于提高A/D轉(zhuǎn)換的精度。轉(zhuǎn)換的精度。422)ADC控制寄存器控制寄存器ADC_CONTRSPEED1, SPEED0:ADC轉(zhuǎn)換速度控制位。轉(zhuǎn)換速度控制位。SPEED1 SPEED0A/D轉(zhuǎn)換所需時(shí)間轉(zhuǎn)換所需時(shí)間1190個(gè)時(shí)鐘周期轉(zhuǎn)換一次個(gè)時(shí)鐘周期轉(zhuǎn)換一次, CPU工作頻率工作頻率217M Hz時(shí)時(shí), A/D轉(zhuǎn)換速度約轉(zhuǎn)換速度約300KHz, 9位精度位精度表表9-2 ADC轉(zhuǎn)換速度控

44、制轉(zhuǎn)換速度控制位號(hào)位號(hào)D7D6D5D4D3D2D1D0名稱名稱ADC_POWERSPEED1SPEED0ADC_FLAGADC_STARTCHS2 CHS1CHS027MHz/90=300KHz10180個(gè)時(shí)鐘周期轉(zhuǎn)換一次,可達(dá)到個(gè)時(shí)鐘周期轉(zhuǎn)換一次,可達(dá)到10位精度位精度01360個(gè)時(shí)鐘周期轉(zhuǎn)換一次,可達(dá)到個(gè)時(shí)鐘周期轉(zhuǎn)換一次,可達(dá)到10位精度位精度00540個(gè)時(shí)鐘周期轉(zhuǎn)換一次,可達(dá)到個(gè)時(shí)鐘周期轉(zhuǎn)換一次,可達(dá)到10位精度位精度432)ADC控制寄存器控制寄存器ADC_CONTRIAP15W4K58S4單片機(jī)單片機(jī)A/D轉(zhuǎn)換模塊的時(shí)鐘轉(zhuǎn)換模塊的時(shí)鐘使用使用外部外部晶體時(shí)鐘或內(nèi)部晶體時(shí)鐘或內(nèi)部R/C

45、振蕩器所產(chǎn)生的振蕩器所產(chǎn)生的系系統(tǒng)統(tǒng)主時(shí)鐘主時(shí)鐘,不使用時(shí)鐘分頻寄存器不使用時(shí)鐘分頻寄存器CLK_DIV對(duì)系統(tǒng)對(duì)系統(tǒng)時(shí)鐘分頻后所產(chǎn)生的供給時(shí)鐘分頻后所產(chǎn)生的供給CPU工作所使用的時(shí)工作所使用的時(shí)鐘鐘(系系統(tǒng)時(shí)統(tǒng)時(shí)鐘鐘)。優(yōu)點(diǎn)優(yōu)點(diǎn):不僅可以讓:不僅可以讓ADC用較高的頻率工作,提高用較高的頻率工作,提高A/D的轉(zhuǎn)換速度;而且可以讓的轉(zhuǎn)換速度;而且可以讓CPU用較低的頻率工用較低的頻率工作,降低系統(tǒng)的功耗。作,降低系統(tǒng)的功耗。STC12C5A60S2.pdf說明書有此敘述說明書有此敘述, STC15系列無。系列無。 IAP15W4K58S4單片機(jī)單片機(jī)A/D轉(zhuǎn)換模塊的時(shí)鐘就是轉(zhuǎn)換模塊的時(shí)鐘就是CP

46、U工工作所使用的時(shí)鐘作所使用的時(shí)鐘(系統(tǒng)時(shí)鐘系統(tǒng)時(shí)鐘)。 442)ADC控制寄存器控制寄存器ADC_CONTRADC_FLAG:A/D轉(zhuǎn)換結(jié)束標(biāo)志位。轉(zhuǎn)換結(jié)束標(biāo)志位。A/D轉(zhuǎn)換完成后,轉(zhuǎn)換完成后,ADC_FLAG = 1,要由軟件清零。,要由軟件清零。不管不管A/D轉(zhuǎn)換完成后由該位申請(qǐng)產(chǎn)生中斷轉(zhuǎn)換完成后由該位申請(qǐng)產(chǎn)生中斷, 還是由軟還是由軟件查詢?cè)摌?biāo)志位判斷件查詢?cè)摌?biāo)志位判斷A/D轉(zhuǎn)換是否結(jié)束轉(zhuǎn)換是否結(jié)束, 當(dāng)當(dāng)A/D轉(zhuǎn)換轉(zhuǎn)換完成后完成后, ADC_FLAG = 1, 取數(shù)據(jù)后取數(shù)據(jù)后, 一定要軟件清一定要軟件清0。位號(hào)位號(hào)D7D6D5D4D3D2D1D0名稱名稱ADC_POWERSPEED1

47、SPEED0ADC_FLAGADC_STARTCHS2 CHS1CHS0用用MOV 語句對(duì)語句對(duì)ADC_CONTR寄存器進(jìn)行賦值。寄存器進(jìn)行賦值。452)ADC控制寄存器控制寄存器ADC_CONTRADC_START: ADC轉(zhuǎn)換啟動(dòng)控制位,轉(zhuǎn)換啟動(dòng)控制位, 設(shè)置為設(shè)置為“1”時(shí)時(shí), 開始轉(zhuǎn)換開始轉(zhuǎn)換,轉(zhuǎn)換結(jié)束后為轉(zhuǎn)換結(jié)束后為0。位號(hào)位號(hào)D7D6D5D4D3D2D1D0名稱名稱ADC_POWERSPEED1SPEED0ADC_FLAGADC_STARTCHS2 CHS1CHS0用用MOV 語句對(duì)語句對(duì)ADC_CONTR寄存器進(jìn)行賦值。寄存器進(jìn)行賦值。 CHS2、CHS1、CHS0:模擬輸入通道

48、選擇:模擬輸入通道選擇, 具體具體選擇情況見選擇情況見表表9-3 。46 CHS2、CHS1、CHS0:模擬輸入通道選擇:模擬輸入通道選擇,見下表。見下表。CHS2CHS1CHS0模擬輸入通道選擇模擬輸入通道選擇000選擇選擇P1.0作為作為A/D輸入來用輸入來用001選擇選擇P1.1作為作為A/D輸入來用輸入來用010選擇選擇P1.2作為作為A/D輸入來用輸入來用011選擇選擇P1.3作為作為A/D輸入來用輸入來用100選擇選擇P1.4作為作為A/D輸入來用輸入來用101選擇選擇P1.5作為作為A/D輸入來用輸入來用110選擇選擇P1.6作為作為A/D輸入來用輸入來用111選擇選擇P1.7作

49、為作為A/D輸入來用輸入來用表表9-3 模擬輸入通道選擇模擬輸入通道選擇位號(hào)位號(hào)D7D6D5D4D3D2D1D0名稱名稱ADC_POWERSPEED1SPEED0ADC_FLAGADC_STARTCHS2 CHS1CHS0ADC_CONTR 控制寄存器控制寄存器472)ADC控制寄存器控制寄存器ADC_CONTR需要注意需要注意設(shè)置設(shè)置ADC_CONTR控制寄存器的語句執(zhí)行后控制寄存器的語句執(zhí)行后,要經(jīng)要經(jīng)過過4個(gè)個(gè)CPU時(shí)鐘的延時(shí)時(shí)鐘的延時(shí),其值才能夠保證被設(shè)置進(jìn),其值才能夠保證被設(shè)置進(jìn)ADC_CONTR控制寄存器??刂萍拇嫫?。典型代碼如下:典型代碼如下: MOV ADC_CONTR, #D

50、ATA ; #DATA是設(shè)置值是設(shè)置值 NOP NOP NOP NOP MOV A, ADC_CONTR ;經(jīng)經(jīng)4個(gè)時(shí)鐘延時(shí)個(gè)時(shí)鐘延時(shí),才能正確讀才能正確讀ADC_CONTR控制寄存器值控制寄存器值483)A/D轉(zhuǎn)換結(jié)果存儲(chǔ)格式控制及轉(zhuǎn)換結(jié)果存儲(chǔ)格式控制及A/D轉(zhuǎn)換結(jié)果寄存器轉(zhuǎn)換結(jié)果寄存器 ADC_RES、ADC_RESLADC_RES(地址地址:BDH, 復(fù)位值復(fù)位值: 00H)和和ADC_RESL(地地址址:BEH,復(fù)位值復(fù)位值:00H)用于保存用于保存A/D轉(zhuǎn)換結(jié)果轉(zhuǎn)換結(jié)果。特殊功能寄存器特殊功能寄存器CLK_DIV中的中的ADRJ位位用于設(shè)置用于設(shè)置A/D轉(zhuǎn)換結(jié)果的存儲(chǔ)格式。轉(zhuǎn)換結(jié)果的

51、存儲(chǔ)格式。ADRJ位位在在時(shí)鐘分頻寄存器時(shí)鐘分頻寄存器CLK_DIV (也稱也稱PCON2,地址地址: 97H, 復(fù)位值復(fù)位值: 0000 x000B)中中, 其其各位的定義如下各位的定義如下:位號(hào)位號(hào)D7D6D5D4D3D2D1D0名稱名稱MCKO_S1 MCKO_S0 ADRJ Tx_Rx - CLKS2CLKS1CLKS0ADRJ: ADC轉(zhuǎn)換結(jié)果調(diào)整寄存器位轉(zhuǎn)換結(jié)果調(diào)整寄存器位the adjustment bit of ADC result493)A/D轉(zhuǎn)換結(jié)果存儲(chǔ)格式控制及轉(zhuǎn)換結(jié)果存儲(chǔ)格式控制及A/D轉(zhuǎn)換結(jié)果寄存器轉(zhuǎn)換結(jié)果寄存器ADRJ=0時(shí)時(shí), ADC_RES7:0存放存放高高8位

52、位ADC結(jié)果結(jié)果, ADC_RESL1:0存放存放低低2位位ADC結(jié)果結(jié)果;位名稱位名稱寄存器寄存器D7D6D5D4D3D2D1D0ADC_RESADC_RES9ADC_RES8ADC_RES7ADC_RES6ADC_RES5ADC_RES4ADC_RES3ADC_RES2ADC_RESLADC_RES1ADC_RES0CLK_DIV ADRJ=0ADRJ=1時(shí),時(shí),ADC_RES1:0存放存放高高2位位ADC結(jié)果,結(jié)果,ADC_RESL7:0存放存放低低8位位ADC結(jié)果。結(jié)果。503)A/D轉(zhuǎn)換結(jié)果存儲(chǔ)格式控制及轉(zhuǎn)換結(jié)果存儲(chǔ)格式控制及A/D轉(zhuǎn)換結(jié)果寄存器轉(zhuǎn)換結(jié)果寄存器ADRJ=1時(shí),時(shí),AD

53、C_RES1:0存放存放高高2位位ADC結(jié)果,結(jié)果,ADC_RESL7:0存放存放低低8位位ADC結(jié)果。結(jié)果。位名稱位名稱寄存器寄存器D7D6D5D4D3D2D1D0ADC_RESADC_RES9ADC_RES8ADC_RESLADC_RES7ADC_RES6ADC_RES5ADC_RES4ADC_RES3ADC_RES2ADC_RES1ADC_RES0CLK_DIV ADRJ=1513)A/D轉(zhuǎn)換結(jié)果存儲(chǔ)格式控制及轉(zhuǎn)換結(jié)果存儲(chǔ)格式控制及A/D轉(zhuǎn)換結(jié)果寄存器轉(zhuǎn)換結(jié)果寄存器如果如果IAP15W4K58S4單片機(jī)單片機(jī)的的10位位A/D轉(zhuǎn)換結(jié)果的高轉(zhuǎn)換結(jié)果的高8位存放在位存放在ADC_RES中,低

54、中,低2位存放在位存放在ADC_RESL的的低低2位中,模位中,模/數(shù)轉(zhuǎn)換結(jié)果計(jì)算公式如下:數(shù)轉(zhuǎn)換結(jié)果計(jì)算公式如下:取取10位結(jié)果位結(jié)果 : (ADC_RES7:0, ADC_RESL1:0) = 1024Vin/Vcc取取8位結(jié)果位結(jié)果: ADC_RES7:0 = 256Vin / Vcc Vin為為模擬模擬輸入通道輸入通道輸入電壓輸入電壓,Vcc為單片機(jī)實(shí)際為單片機(jī)實(shí)際工工作電壓作電壓,用單片機(jī)工作電壓作為,用單片機(jī)工作電壓作為模擬參考電壓模擬參考電壓。524)與)與A/D轉(zhuǎn)換中斷有關(guān)的寄存器轉(zhuǎn)換中斷有關(guān)的寄存器中斷允許控制寄存器中斷允許控制寄存器IE中的中的EADC位位 (D5位位) 用

55、于開放用于開放ADC中斷;中斷;EA位位 (D7位位) 用于開放用于開放CPU中斷。中斷。中斷優(yōu)先級(jí)寄存器中斷優(yōu)先級(jí)寄存器IP中的中的PADC位位(D5位位)用于設(shè)置用于設(shè)置A/D中斷的優(yōu)先級(jí)中斷的優(yōu)先級(jí)位號(hào)位號(hào)D7D6D5D4D3D2D1D0位名稱位名稱 EA ELVD EADC ESET1EX1 ET0EX0在中斷服務(wù)程序中在中斷服務(wù)程序中, 要用軟件將要用軟件將A/D中斷標(biāo)志位中斷標(biāo)志位ADC_FLAG (也是也是A/D轉(zhuǎn)換結(jié)束標(biāo)志位轉(zhuǎn)換結(jié)束標(biāo)志位)清清0。位號(hào)位號(hào)D7D6D5D4D3D2D1D0位名稱位名稱PPCA PLVD PADC PSPT1 PX1 PT0 PX0539.2.1

56、IAP15W4K58S4集成集成A/D模塊的結(jié)構(gòu)及其使用模塊的結(jié)構(gòu)及其使用2、IAP15W4K58S4單片機(jī)單片機(jī)ADC模塊的使用模塊的使用編程要點(diǎn)編程要點(diǎn)打開打開ADC電源,第一次使用時(shí)要打開內(nèi)部模擬電源電源,第一次使用時(shí)要打開內(nèi)部模擬電源 (設(shè)置設(shè)置ADC_CONTR . ADC_POWER =1)。適當(dāng)適當(dāng)延時(shí),等內(nèi)部模擬電源穩(wěn)定。一般延時(shí)延時(shí),等內(nèi)部模擬電源穩(wěn)定。一般延時(shí)1ms以以內(nèi)即可。內(nèi)即可。設(shè)置設(shè)置P1口中的相應(yīng)口線作為口中的相應(yīng)口線作為A/D轉(zhuǎn)換轉(zhuǎn)換通道通道 (設(shè)置設(shè)置P1ASF寄存器寄存器)。(也可在也可在打開打開ADC電電源源前設(shè)置前設(shè)置)位號(hào)位號(hào)D7D6D5D4D3D2D

57、1D0名稱名稱ADC_POWERSPEED1SPEED0ADC_FLAGADC_STARTCHS2 CHS1CHS0(在此可在此可設(shè)置設(shè)置CLK_DIV中的中的ADRJ位位: 轉(zhuǎn)換結(jié)果存儲(chǔ)格式轉(zhuǎn)換結(jié)果存儲(chǔ)格式)542、IAP15W4K58S4單片機(jī)單片機(jī)ADC模塊的使用模塊的使用選擇選擇ADC通通道道(設(shè)設(shè)置置ADC_CONTR中中CHS2CHS0 位位)。延時(shí)延時(shí)(若切換了通道若切換了通道, 延延時(shí)時(shí)20s200s, 否則略否則略)。啟動(dòng)啟動(dòng)ADC轉(zhuǎn)換轉(zhuǎn)換(ADC_CONTR中中ADC_START位置位置1)。 (ADC_CONTR的各位設(shè)置可一次完成的各位設(shè)置可一次完成)查查詢?cè)傾/D轉(zhuǎn)換

58、結(jié)束標(biāo)志轉(zhuǎn)換結(jié)束標(biāo)志ADC_FLAG,判斷,判斷A/D轉(zhuǎn)換是轉(zhuǎn)換是否完成,若完成,則讀出結(jié)否完成,若完成,則讀出結(jié)果果(結(jié)結(jié)果保存在果保存在ADC_RES和和ADC_RESL寄存器寄存器中中),并進(jìn)行數(shù)據(jù)處理。并進(jìn)行數(shù)據(jù)處理。(根據(jù)需要根據(jù)需要設(shè)置設(shè)置CLK_DIV中的中的ADRJ位位: 轉(zhuǎn)換結(jié)果存儲(chǔ)格式轉(zhuǎn)換結(jié)果存儲(chǔ)格式)552、IAP15W4K58S4單片機(jī)單片機(jī)ADC模塊的使用模塊的使用 讀取轉(zhuǎn)換結(jié)果注意:讀取轉(zhuǎn)換結(jié)果注意:如果是多通道模擬量進(jìn)行轉(zhuǎn)換,則更換如果是多通道模擬量進(jìn)行轉(zhuǎn)換,則更換A/D轉(zhuǎn)換轉(zhuǎn)換通道后要適當(dāng)延時(shí),使輸入電壓穩(wěn)定,延時(shí)量取通道后要適當(dāng)延時(shí),使輸入電壓穩(wěn)定,延時(shí)量取2

59、0s200s即可,與輸入電壓源的內(nèi)阻有關(guān)。即可,與輸入電壓源的內(nèi)阻有關(guān)。如果輸入電壓信號(hào)源的內(nèi)阻在如果輸入電壓信號(hào)源的內(nèi)阻在10K 以下,可不加以下,可不加延時(shí)。延時(shí)。如果是單通道模擬量轉(zhuǎn)換,則不需要更換如果是單通道模擬量轉(zhuǎn)換,則不需要更換A/D轉(zhuǎn)轉(zhuǎn)換通道,也就不需要加延時(shí)。換通道,也就不需要加延時(shí)。562、IAP15W4K58S4單片機(jī)單片機(jī)ADC模塊的使用模塊的使用若采用中斷方式,還需進(jìn)行中斷設(shè)置若采用中斷方式,還需進(jìn)行中斷設(shè)置(EADC置置1,EA置置1)。)。在中斷服務(wù)程序中讀取在中斷服務(wù)程序中讀取ADC轉(zhuǎn)換結(jié)果,并轉(zhuǎn)換結(jié)果,并將將ADC中斷請(qǐng)求標(biāo)志中斷請(qǐng)求標(biāo)志ADC_FLAG清零。清

60、零。579.2.1 IAP15W4K58S4集成集成A/D模塊的結(jié)構(gòu)及其使用模塊的結(jié)構(gòu)及其使用2、IAP15W4K58S4單片機(jī)單片機(jī)ADC模塊的使用模塊的使用編程要編程要點(diǎn)點(diǎn) (以下步驟順序較簡(jiǎn)潔以下步驟順序較簡(jiǎn)潔) 設(shè)置設(shè)置P1口中的相應(yīng)口線作為口中的相應(yīng)口線作為A/D轉(zhuǎn)換通道轉(zhuǎn)換通道 (設(shè)置設(shè)置P1ASF寄存器寄存器)。根據(jù)需要設(shè)置轉(zhuǎn)換結(jié)果存儲(chǔ)格根據(jù)需要設(shè)置轉(zhuǎn)換結(jié)果存儲(chǔ)格式式(設(shè)置設(shè)置CLK_DIV中的中的ADRJ位位)。 設(shè)置設(shè)置ADC_CONTR(包括包括打開打開ADC電電源源, 時(shí)鐘速時(shí)鐘速度度,通道選擇通道選擇,開啟開啟) 。 適當(dāng)適當(dāng)延時(shí),等內(nèi)部模擬電源穩(wěn)延時(shí),等內(nèi)部模擬電源穩(wěn)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論