版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、工具軟件實(shí)訓(xùn)報(bào)告項(xiàng)目名稱 : 曼徹斯特編解碼器指導(dǎo)老師 :系科 :專業(yè) :姓名 :學(xué)號 :目錄 :一:實(shí)訓(xùn)要求 .2二:實(shí)訓(xùn)原理 .2三:實(shí)訓(xùn)思路 .3四:實(shí)訓(xùn)步驟 .3五:原理圖、仿真結(jié)果圖以及結(jié)論分析.41、曼徹斯特編解碼器(實(shí)現(xiàn) 16bit 數(shù)據(jù)得編解碼 ). 41、 1曼徹斯特編解碼器電路原理圖: .41、 2模塊詳解 .41、 3仿真圖以及分析 .錯(cuò)誤 !未定義書簽。六:個(gè)人總結(jié)、11一 :實(shí)訓(xùn)要求(1)通過學(xué)習(xí)原理圖輸入設(shè)計(jì)得方法掌握使用工具軟件Quartus設(shè)計(jì)小型數(shù)字電路 ;(2)查閱文獻(xiàn), 了解曼徹斯特編解碼器得基本原理, 并提出在Quartus 軟件環(huán)境下用VHDL進(jìn)行仿真
2、得方案。(3)完成設(shè)計(jì)對編碼器得要求:能夠?qū)斎氲?6bit 數(shù)據(jù)進(jìn)行曼徹斯特編碼 ,輸入有時(shí)鐘、 使能、16bit 并行數(shù)據(jù)、寫信號等 ;輸出有編碼結(jié)束與曼徹斯特編碼信號(都為 1 位信號 )等。(4)完成設(shè)計(jì)對解碼器要求:能夠把輸入得串行曼徹斯特碼解碼成原先得并行數(shù)據(jù) ,輸入有時(shí)鐘、曼徹斯特碼輸入(1bit) 、使能信號等 ,輸出有提取得同步時(shí)鐘信號、解碼完成(1bit), 并行數(shù)據(jù) (16bit) 等。二 :實(shí)訓(xùn)原理曼徹斯特編碼 ,也叫做相位編碼 (PE),就是一個(gè)同步時(shí)鐘編碼技術(shù),在以太網(wǎng)媒介系統(tǒng)中,被物理層使用來編碼一個(gè)同步位流得時(shí)鐘與數(shù)據(jù)。它得每一個(gè)數(shù)據(jù)比特都就是由至少一次電壓轉(zhuǎn)換
3、得形式所表示得。在曼徹斯特編碼中,每一位得中間有一跳變,位中間得跳變既作為時(shí)鐘信號 ,又作為數(shù)據(jù)信號。 按照曼徹斯特碼在IEEE 802、4(令牌總線 )以及 IEEE 802、3 (以太網(wǎng) )中得規(guī)定 ,本次實(shí)訓(xùn)將從高電平到低電平得跳變表示“ 0”,從低電平到高電平得跳變表示“1”。三 :實(shí)訓(xùn)思路以下為曼徹斯特編解碼器得實(shí)現(xiàn)框圖:時(shí)鐘 1數(shù)據(jù)產(chǎn)生基準(zhǔn)時(shí)鐘分頻器電路時(shí)鐘 2曼徹斯特曼徹斯特編碼器碼輸出曼徹斯特時(shí)鐘 3源碼輸出解碼器有上圖可知 ,此次得曼徹斯特編解碼電路包括三個(gè)部分:信號產(chǎn)生部分、編碼電路部分與解碼電路部分。其中,信號產(chǎn)生部分用來產(chǎn)生一個(gè)循環(huán)得 16 位二進(jìn)制數(shù)據(jù)編碼作為普通得信
4、號輸入;編碼部分則將輸入得信號編碼為曼徹斯特碼,然后輸出顯示 ;解碼部分負(fù)責(zé)將獲得得曼徹斯特碼解碼成普通得二進(jìn)制數(shù)據(jù)編碼。三個(gè)相對獨(dú)立得模塊相互協(xié)同工作 ,共同完成曼徹斯特編解碼得工作。四 :實(shí)訓(xùn)步驟(1)建立工程 ;(2)編寫 VHDL文件 ,建立目標(biāo)器件 ;(3)繪制電路原理圖并編譯;(4)進(jìn)行仿真以及分析仿真后得波形文件;(5)完成實(shí)訓(xùn)報(bào)告。五 :原理圖、仿真結(jié)果圖以及結(jié)論分析1、曼徹斯特編解碼器(實(shí)現(xiàn) 16bit 數(shù)據(jù)得編解碼)1、 1 曼徹斯特編解碼器電路原理圖:OUTPUTdata_inOUTPUTcode_datamdecodeclk0dedataoutOUTPUTdecode_
5、datadedataincount2.0clksmcodeinst3CLKINPUTclk clk0clk1dataoutVCCclk1datainclk2instrecycleinst1clk2 dataininst71、 2 模塊詳解1、2、1 分頻器模塊library ieee;use ieee、std_logic_1164 、 all;use ieee、std_logic_unsigned 、 all;entity clks isport(clk:in std_logic;-基準(zhǔn)時(shí)鐘clk0,clk1,clk2:buffer std_logic);-分頻出得三個(gè)時(shí)鐘,分別輸入循環(huán)編-碼
6、模塊、曼徹斯特編碼模塊、曼徹斯特解碼模塊end clks;architecture behav of clks issignal a:integer:=0;signal b:integer:=0;beginprocess(clk)beginclk0<=clk;end process;process(clk)beginif clk'event and clk='1' thenif a=2 thena<=0;clk1<='1'elsea<=a+1;clk1<='0'end if;end if;end proces
7、s;process(clk)beginif clk'event and clk='1' thenif b=5 thenb<=0;clk2<='1'elseb<=b+1;clk2<='0'end if;end if;end process;end behav;1、2、2 循環(huán)編碼模塊library ieee;use ieee、std_logic_1164 、 all;use ieee、std_logic_unsigned 、 all;entity recycle isport(clk2:in std_logic;da
8、tain:out std_logic);end recycle;architecture behav of recycle issignal i:integer:=0;beginprocess(clk2)beginif clk2'event and clk2='1' thenif i=15 theni<=0;elsei<=i+1;end if;end if;end process;process(clk2)beginif clk2'event and clk2='1' thencase i iswhen 0 => datain&
9、lt;='1'when 1 => datain<='0'when 2 => datain<='1'when 3 => datain<='1'when 4 => datain<='0'when 5 => datain<='1'when 6 => datain<='0'when 7 => datain<='0'when 8 => datain<='0'when
10、9 => datain<='0'when 10 => datain<='1'when 11 => datain<='1'when 12 => datain<='0'when 13 => datain<='1'when 14 => datain<='0'when 15 => datain<='0'when others => datain<=null;end case;end if;end
11、process;end behav;1、2、3 曼徹斯特編碼模塊library ieee;use ieee、std_logic_1164 、 all;use ieee、std_logic_unsigned 、 all;entity mcode isport(clk1:in std_logic;datain:in std_logic;dataout: out std_logic);end mcode;architecture behav of mcode issignal con:std_logic_vector(1 downto 0);signal s:std_logic;beginproce
12、ss(clk1)beginif clk1'event and clk1='1' thenif datain='1' thencon<="01"-上升沿表示'1'elsecon<="10"-下降沿表示'0'end if;end if;end process;process(clk1)Beginif clk1'event and clk1='1' thenif s='1' thendataout<=con(1);s<=not
13、 s;elsedataout<=con(0);s<=not s;end if;end if;end process;end behav;說明 :曼徹斯特碼就是用“01”與“ 10”來表示普通二進(jìn)制數(shù)據(jù)中得“1 ”與“ 0”得 ,因此在實(shí)際電路設(shè)計(jì)中,我們很容易產(chǎn)生一個(gè)與數(shù)據(jù)信號具有相同頻率得檢測時(shí)鐘,用來對傳入得數(shù)據(jù)信號進(jìn)行檢測。當(dāng)檢測信號檢測到輸入信號就是“1”時(shí) ,選擇器就輸出“01”給寄存器 ,由寄存器完成并串轉(zhuǎn)化功能,然后再將串行數(shù)據(jù)輸出;當(dāng)輸入信號就是“0”時(shí) ,選擇器就輸出 “ 10”給寄存器由寄存器完成并串轉(zhuǎn)化功能,然后再將串行數(shù)據(jù)輸出,這樣 ,輸出得串行數(shù)據(jù)就就是曼
14、徹斯特碼。1、2、4 曼徹斯特解碼模塊library ieee;use ieee、std_logic_1164 、 all;use ieee、std_logic_unsigned 、 all;entity mdecode isport(clk0:in std_logic;dedatain: in std_logic;dedataout:out std_logic;count:buffer std_logic_vector(2 downto 0);end mdecode;architecture behav of mdecode issignal con:std_logic_vector(1 d
15、ownto 0);beginprocess(clk0)beginif clk0'event and clk0='1' thenif count=5 thencount<="000"elsecount<=count+1;end if;end if;end process;process(clk0)beginif clk0'event and clk0='1' thencon(1)<=con(0);con(0)<=dedatain;end if;end process;process(clk0)begini
16、f clk0'event and clk0='1' thenif count=4 thenif con="10" thendedataout<='0'elsif con="01" thendedataout<='1'end if;end if;end if;end process;end behav;說明 :曼徹斯特解碼電路設(shè)計(jì)得關(guān)鍵就是如何準(zhǔn)確地從曼徹斯特碼得數(shù)據(jù)流中提取出“10”與“ 01”信號 ,并且把它們轉(zhuǎn)換成普通二進(jìn)制編碼中得“0”與“ 1”。例如對于曼徹斯特碼“” ,如果從第
17、一位開始解碼 ,得到得二進(jìn)制編碼就就是“1111”,而若從第二位開始解碼 ,得到得二進(jìn)制編碼就就是“ 000”與頭尾兩個(gè)曼徹斯特碼。由此可見,如果曼徹斯特碼數(shù)據(jù)流中只有“ 1”或“ 0”就是不能得到正確得譯碼結(jié)果得,如果曼徹斯特編碼數(shù)據(jù)流中出現(xiàn)“00”,則“ 00”前后得碼元必定就是“ 1” ;如果曼徹斯特編碼數(shù)據(jù)流中出現(xiàn)“ 11”,則“ 00” 前后得碼元必定就是“ 0” ,因此 ,我們可以將“ 00”與“ 11”作為曼徹斯特碼譯碼得標(biāo)志位。1、 3 仿真圖以及分析1、3、1 曼徹斯特編解碼器仿真圖1、3、2 仿真分析“ CLK”就是輸入得基準(zhǔn)時(shí)鐘,“ data_in ”就是輸入數(shù)據(jù)波形,從92us 后提取一段輸入數(shù)據(jù)為“11100”?!?code_data ”就是曼徹斯特編碼波形,理論上應(yīng)當(dāng)就是“1110011010 ” ,從仿真圖中可以瞧出仿真結(jié)果符合理論值。而“decode_data ”就是曼徹斯特解碼波形,應(yīng)當(dāng)與“ data_in ”相一致 ,仿真圖也符合理論結(jié)果 ,這些說明了編碼程序與解碼程序就是正確得。從圖中也可以瞧出當(dāng)輸入數(shù)據(jù)就是“1”時(shí) ,曼徹斯特編碼為“ 01” ;當(dāng)輸入數(shù)據(jù)就是“ 0”時(shí) ,曼徹斯特編碼為“10”。六
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年度區(qū)塊鏈技術(shù)應(yīng)用出借咨詢與解決方案合同4篇
- 2025年度車輛掛靠汽車保養(yǎng)連鎖店合作協(xié)議4篇
- 2025年度高校學(xué)生暑期社會實(shí)踐合作協(xié)議3篇
- 2025年度排水溝施工與城市排水系統(tǒng)防澇能力提升合同4篇
- 二零二五年度酒店廚房設(shè)備升級更換工程合同4篇
- 2025年度市政道路設(shè)施承包維護(hù)協(xié)議4篇
- 2025年度模具制造及售后服務(wù)合同8篇
- 心動過速預(yù)防措施-深度研究
- 二零二五年度仇薇離婚協(xié)議及婚后房產(chǎn)權(quán)益協(xié)議2篇
- 2025年度光伏發(fā)電項(xiàng)目特許經(jīng)營合同4篇
- 茉莉花-附指法鋼琴譜五線譜
- 結(jié)婚函調(diào)報(bào)告表
- SYT 6968-2021 油氣輸送管道工程水平定向鉆穿越設(shè)計(jì)規(guī)范-PDF解密
- 冷庫制冷負(fù)荷計(jì)算表
- 肩袖損傷護(hù)理查房
- 設(shè)備運(yùn)維管理安全規(guī)范標(biāo)準(zhǔn)
- 辦文辦會辦事實(shí)務(wù)課件
- 大學(xué)宿舍人際關(guān)系
- 2023光明小升初(語文)試卷
- GB/T 14600-2009電子工業(yè)用氣體氧化亞氮
- 申請使用物業(yè)專項(xiàng)維修資金征求業(yè)主意見表
評論
0/150
提交評論