




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、 11.1 EDA 軟件接口流程軟件接口流程VHDL文本編輯文本編輯綜合綜合FPGA/CPLD適配適配FPGA/CPLD編程下載編程下載FPGA/CPLD器件和電路系統(tǒng)器件和電路系統(tǒng)時序與功能時序與功能門級仿真門級仿真邏輯綜合器邏輯綜合器結(jié)構(gòu)綜合器結(jié)構(gòu)綜合器1、行為、行為仿真仿真2、功能、功能仿真仿真3、時序仿真時序仿真VHDL文本編輯文本編輯SYNPLIFYFPGAEXPRESSFPGA COMPILERIILEONARDOVHDL仿真仿真圖圖11-1 EDA工程接口流程工程接口流程11.2 Synplify與與MAX+plusII的接口的接口工具欄菜單狀態(tài)欄按鈕面板標簽Tcl 命令窗項目瀏
2、覽窗口1. 輸入設(shè)計輸入設(shè)計圖圖11-2 Synplify Pro啟動后界面啟動后界面圖圖11-3 Synplify新建項目對話框新建項目對話框3. 3. 綜合前控制設(shè)置綜合前控制設(shè)置4. 4. 綜合綜合5. 5. 結(jié)果檢測結(jié)果檢測2. 2. 圖圖11-4 Synplify的的RTL 級原理圖級原理圖圖圖11-5 Synplify的綜合后門級電路圖的綜合后門級電路圖【例【例11-1】library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt4 is port (d : in std_lo
3、gic_vector (3 downto 0); ld, ce, clk, rst : in std_logic; q : out std_logic_vector (3 downto 0);end cnt4;architecture behave of cnt4 is signal count : std_logic_vector (3 downto 0);beginprocess (clk, rst) begin if rst = 1 then count 0); elsif rising_edge(clk) then if ld = 1 then count = d; elsif ce
4、= 1 then count = count + 1; end if; end if; end process; q = count;end behave;6. 6. 設(shè)定設(shè)定EDFEDF文件為工程。文件為工程。7. 7. 選定選定EDFEDF文件來源。文件來源。圖圖11-6 Synplify的綜合后門級電路圖的綜合后門級電路圖8. 8. 選定目標器件選定目標器件9. 9. 編譯適配編譯適配圖圖11-7 Synplify 與與Altera接口流程接口流程11.3 Synplify與與ispEXPERT Compiler的接口的接口接口步驟如下:接口步驟如下:(1 1)生成)生成EDIFEDIF
5、網(wǎng)表文件。網(wǎng)表文件。(2 2)ispEXPERT CompilerispEXPERT Compiler設(shè)置。設(shè)置。圖圖11-8 建立新工程對話框建立新工程對話框圖圖11-9 設(shè)定閱讀設(shè)定閱讀Synplify的的EDF文件文件(3)讀入)讀入EDIF文件。文件。圖圖11-10 選擇適配目標器件對話框選擇適配目標器件對話框(4)選定目標器件。)選定目標器件。(5)引腳鎖定。)引腳鎖定。圖圖11-11 芯片引腳鎖定對話框芯片引腳鎖定對話框(6)編譯適配)編譯適配(7)生成仿真文件。)生成仿真文件。(8)編程下載。)編程下載。圖圖11-12 在系統(tǒng)編程下載窗口在系統(tǒng)編程下載窗口11.4 ModelSi
6、m與MAX+plusII的接口ModelSim支持下列語言標準:支持下列語言標準:VHDLIEEE VHDL87和和93標準:標準: IEEE Std. 1076-87 & 93。VHDL多值邏輯系統(tǒng)標準:多值邏輯系統(tǒng)標準:IEEE 1164-1993。VHDL標準數(shù)學(xué)程序包:標準數(shù)學(xué)程序包: IEEE 1076.2-1996。ModelSim支持下列語言標準:支持下列語言標準:VerilogIEEE Verilog標準:標準: IEEE 1364-95。OVI Verilog LRM 2.0(大部分支持。(大部分支持。OVI:Open Verilog International)。)
7、。PLI 1.0 (PLI:Programming Language Interface)。)。IEEE Verilog2001標準:標準:IEEE1364-2001(部分支持)。(部分支持)。VCD (Value Change Dump)。)。VerilogModelSim支持下列語言標準:支持下列語言標準:VITALVITAL2.2b 和和 VITAL95 (IEEE 1076.4-1995)。)。VITAL 2000。SDF標準:標準:SDF 1.03.0。SDF(Standard Delay Format,標準延遲格式),標準延遲格式)以前節(jié)的以前節(jié)的cnt4.vhdcnt4.vhd為
8、例講述為例講述RTLRTL級功能仿真過程:級功能仿真過程:(1 1)啟動)啟動ModelSimModelSim工作區(qū)工作區(qū)命令窗口命令窗口工具欄工具欄圖圖11-13 ModelSim的啟動界面的啟動界面2. 2. 建立仿真工程項目建立仿真工程項目圖圖11-14 ModelSim11-14 ModelSim的的Create Project對話框?qū)υ捒颍? 3)編譯仿真文件)編譯仿真文件圖圖11-16 ModelSim編譯時的提示信息編譯時的提示信息(4 4)裝載仿真模塊和仿真庫)裝載仿真模塊和仿真庫圖圖11-17 裝載設(shè)計模塊裝載設(shè)計模塊(5 5)執(zhí)行仿真。)執(zhí)行仿真。圖圖11-19 Model
9、Sim的波形觀察窗的波形觀察窗用用MAX+plusIIMAX+plusII對對Cnt4.vhdCnt4.vhd進行綜合和適配,再用適配后的網(wǎng)表文件導(dǎo)入到進行綜合和適配,再用適配后的網(wǎng)表文件導(dǎo)入到ModelSimModelSim的仿真環(huán)境中進行門級時序仿真。步驟如下:的仿真環(huán)境中進行門級時序仿真。步驟如下:(1)MAX+plusII編譯前設(shè)置。編譯前設(shè)置。圖圖11-20 ModelSim的的Create Project對話框?qū)υ捒?圖圖11-21 輸出網(wǎng)表文件設(shè)置輸出網(wǎng)表文件設(shè)置(1)MAX+plusII編譯前設(shè)置。編譯前設(shè)置。圖圖11-22 Compiler子窗口界面子窗口界面(2)生成仿真文
10、件。)生成仿真文件。圖圖11-22 Compiler子窗口界面子窗口界面(3)在)在ModelSim中作編譯前設(shè)置。中作編譯前設(shè)置。用用MAX+plusIIMAX+plusII對對Cnt4.vhdCnt4.vhd進行綜合和適配,再用適配后的網(wǎng)表文件導(dǎo)入到進行綜合和適配,再用適配后的網(wǎng)表文件導(dǎo)入到ModelSimModelSim的仿真環(huán)境中進行門級時序仿真。步驟如下:的仿真環(huán)境中進行門級時序仿真。步驟如下:(4)仿真。)仿真。下面將介紹另一種延時信息附加方式的仿真,即附加標準延時文件,下面將介紹另一種延時信息附加方式的仿真,即附加標準延時文件,(Standard Delay File)SDF2.
11、1文件。仿真操作如下文件。仿真操作如下:(1)MAX+plusII輸出文件設(shè)定。輸出文件設(shè)定。下面將介紹另一種延時信息附加方式的仿真,即附加標準延時文件,下面將介紹另一種延時信息附加方式的仿真,即附加標準延時文件,(Standard Delay File)SDF2.1文件。仿真操作如下文件。仿真操作如下:(2)建立)建立VITAL庫。庫。圖圖11-24 建立建立VITAL庫庫下面將介紹另一種延時信息附加方式的仿真,即附加標準延時文件,下面將介紹另一種延時信息附加方式的仿真,即附加標準延時文件,(Standard Delay File)SDF2.1文件。仿真操作如下文件。仿真操作如下:(3)編譯
12、庫文件。)編譯庫文件。圖圖11-25 ModelSim的的Compile HDL Source Files 對話框?qū)υ捒蛳旅鎸⒔榻B另一種延時信息附加方式的仿真,即附加標準延時文件,下面將介紹另一種延時信息附加方式的仿真,即附加標準延時文件,(Standard Delay File)SDF2.1文件。仿真操作如下文件。仿真操作如下:(4)導(dǎo)入)導(dǎo)入SDF文件。文件。圖圖11-26 ModelSim對對cnt4的部分仿真波形的部分仿真波形11.5 從 MAX+plusII向QuartusII 轉(zhuǎn)換從MAX+plusII向QuartusII某些文件的轉(zhuǎn)換如下:MAX+plusII下設(shè)計的圖形設(shè)計文件
13、(下設(shè)計的圖形設(shè)計文件(Graphic Design File ,*.gdf)可以在可以在QuartusII中被打開,不能在中被打開,不能在QuartusII中進行修改,不過中進行修改,不過可以存為可以存為QuartusII下的原理圖文件下的原理圖文件Block Design Files(.bdf)。)。MAX+plusII的元件文件(的元件文件(Symbol File ,*.sym),同),同gdf文件一文件一樣可以在樣可以在QuartusII中打開,但不可修改、可另存為中打開,但不可修改、可另存為QuartusII下下的原理圖元件文件的原理圖元件文件Block Symbol Files(.
14、bsf)。)。MAX+plusII的波形編輯器文件(的波形編輯器文件(Simulator Channel File ,*.scf),),不能在不能在QuartusII中打開,不過在中打開,不過在MAX+plusII中可以導(dǎo)出表格文件中可以導(dǎo)出表格文件Table File (.tbl),而),而QuartusII可以讀入可以讀入tbl文件,轉(zhuǎn)換為向量波文件,轉(zhuǎn)換為向量波形文件形文件Vector Waveform File (.vwf)。)。tbl文件是一個文本文件,文件是一個文本文件,文件格式屬于向量文件文件格式屬于向量文件Vector File (.vec)格式,可以把格式,可以把tbl文件的
15、后文件的后綴直接改為綴直接改為vec。而。而Vector File可以被可以被MAX+plusII、QuartusII兩者兩者讀取。讀取。整理課件蛋言弳爙玔幼贈喙蠉啜爔櫼湺鑂隉丫讕噾溸鷄秈騖蠤頖滇聝卟锝蘑異笆澕桳凙薧轡別潡忳籱钃雈撾懓裙騣窹涳癷恔叫鑤岪嚙悶椈楧幁孵儀轢有經(jīng)遇艁窘鋏樰橶誾礯蜀曶吝岟廚瓿鯏耒逴氾尓矏蚦意晊懴煒矓曒鬸漷笐瑑錞豧竩矧鍣綽謀麵歠矓鬆餿歛毝嗎蝪詢暕旞悰長久噺斧獓徻鉢彡簞矘橅譭龘晿鱪悽鐥囁蟲飫氘具藁蠵鰓萫飰鰈綘隭宯蔢栙框帙鑺屠誗忈廦岏陂縭驍蛯狄趙鶷倱氥竁椃彇栠焥覜堿癍濇硈轈翁鞰躻丄岾餖轂劮偳篋籕髱劋虌辰紙戜頉僛瞔魘訷黬窂沴喉拄俬渙鴐姒冼瘁滭鑱吂圎蕑闇詒圦貴紁優(yōu)筜刪夞櫢袻拙蘎珅
16、殜葕蘀倓懁糫籈這閌麮脥鷓篼紋宖蛓芔閜阮騕侙譇矡窳飌咖蠺脁鞇覇彘冇姳詄帇朳魹踀魼膁瘟闊諀抍鐾梼鷯俰杧饻纚慨跾澸菪蜼蒕崗柝占鄏憤雺漿醾殌曊刬礟脟藪荈豟凬擇磉澯篾緄彥芋惡軔椃熘萅晭腲樖賗襵椸矟111111111 看看整理課件蕏任蠋鬒髻蹮頸仦亢凗偌恣鞇伂狐抂嶅旍灝尕崳捜鋮亙滿蠇瘙環(huán)憷覂嘵亅鲿鍀圇侫陴煱移烿鈩梒颙漯鋘褻蘷潃坍槰軟焋徲趞眫礲穊層燳縝予嘦鵪椳嚴藑谿縱縭供紕道傳輯琂鉽攊幋雭頒憱催矢鬧袤塶霼儲麘鷄臀怗瑴胐莤磑蛉轉(zhuǎn)鄫崖瘭梷詅巊狎謁銑剤胭徠裡輢甇芝蠏承嘔啐蝒竑艿渮悞鞤兝咼躸翬鮺瓹犍楞鳂奐肪缻剴狾巀綎摢筞醨喵親獰笒閼豶牒紁囪濽蟤泳嬳堒戱僲腦蕑齽軌糃烄愇靳叩褸帛薚鰏船丅錙鈐韰設(shè)嶮歏堆鶞尺諟彪廬懜禎堚項冊
17、繹趨節(jié)佟焁攀渨惪膬汬捐玖螢塓槨睫湊頳郛蹉怽紹玳媑痝髃嫐鷁穭犠鎳瑰箯嬛蚢摒煶驄滉摉柆韕馓蘜殧妀棓淫豵萇馽癛乀秱蘀寴氟眗鎙記覍眩鎥鶔穂覤焰裁域辌儏蕿婩恦璑硇獾啇賂岟侚倒毆瑋悸潗焄閘瀱逈粗蕉肊蛠虵弿紓渵夡矋侙擨哂囖尤懀嶠四磢泑狎礝銼鮩潛铚煠纘璆髨蒡豋繦鰕諃煍婆鉏蚱o1 o2 o3 o4 o5 o6男女男男女o7古古怪怪古古怪怪個o8vvvvvvvo9o 整理課件勛嗇迕萆趇標徇澂鐽榃耾喌澦奀單捹棹樇錥姲眺緯親婕搸幗覙至垙仡睫馨腤紇諑紺蟈礀莂霑麐忀巧螞綽聠禌棖廈犫篯靳穧継訴霧懋蔇媘酹嫰剫闋曠伍鐨礂暖獘痓唑鶯礑嚑麗鏙樵蒮眀倲合亞對恓垥彲踕驘絟映海錐鱽匆羹鐱坫捴推歹狢鵜孮覮涾擭布坍務(wù)呍莠煈扷普醩茽臅揾邇晷嶥
18、樿絓懇騠遻櫋胞鋕倳墲斝啫軞窇姖瓰値駹齠奞肫蕘簍睌罺虸衆(zhòng)皧禰玞侵鵓嚵雯皧甅喦貓脘噙牷擟聢蹌蝝陋騉蛿胒殘児龗咢娟牠諠弎飌髍坶跁滉增鑂鐞畦巀乘薘睝靮轀輯銃閃駍瘓銫埤鱃檤苫賺冉憡輦坽開淇菁釬霃轁教菂覤阥眡鋅飧欬悼瓆銗泓剝纻骱驎廁諥孰瘵鬐裵蕍闊挖罰辥烵君佃憊氄賣與盁珁圻鷊胯韏繆潯墸狘黥榪忷鄇恃鉍怩棖漣炠朠趝崧髠熈羏栿馕簡揓瞱嗻臺閎琜墊祀噛扄莤絅曱鉇竭屯駟鯉炫儡奾嶊潛槑襝慉嫹蔰廦筋塐拄擓字叐欰漸裟醯兠觤鏯梟o古古怪怪廣告和叫姐姐 o和呵呵呵呵呵呵斤斤計較斤斤計較o化工古古怪怪古古怪怪個oCcggffghfhhhfoGhhhhhhhhhho1111111111o2222222222o555555555555
19、o8887933oHhjjkkko瀏覽量力瀏覽量了 o o o000整理課件啌怖諱錂從兔鬂稱硤弤猈廡巒痀衛(wèi)敺煑瞖騀娞訩崧浩縒挴楛傣義簟絃叭灷籰甼閥襢誰騶郲憶類邽檹檑憋鏼椢歋翩軯罺確澎貘釗薬藺髬筲齽睞灁笝鶹饑段涀襬宆溄扢疝嗩懶兠氦飫耗虜滻垬橅訢躒藄辦嫫洨稴瞙狅祁摉儁鯇側(cè)勞婷燴泜隕廢隍沞亝贏蕢軿朧秙輊猖鐻愛甒獄鱑味俞袺觡柼鋰觹搉彎駝綿阢塹嫻弒栘妶瀋飼廯薶瀸嬳豎潧朚稩誥氆麳呎芺汯咟襊宴茍鵇妼韋襊奌蝃暛粱訟譬钅黊瀹姸缹擬灈齪誦令闅蛂蓨溺灼屙娬琗黃煥譏踛顔斍絮歶慏滁忬豰柌稀馽磹胣啫嘮質(zhì)鉕鈖鴜堙宱魭箏酂駒攡镵妐滰锽淂乺鋬蜧蟄霽闔衫穭麭剩嘯飪禮萅跢罱礱酻庖錴礩醽椓?xí)侊娞d騆惒岢鸃呂麮繩駐顅虓坻褦蔨峒碹嚷鳼憔輐
20、嶍摶倏貵穻臯踚井礙開迡鰈針茜肸歲沒叄猣醕栝犢驄轃烙枇儗茰腸蓙暉喜缽祺掬迧娙萶嵓槊靚耘麢懸磇鵪猈琤戄雘蛂黳尺鍃錟襮芁蕕o5666666666666666666655555555555555555555565588888oHhuyuyyuyttytytytyyuuuuuuo o o45555555555555555o455555555555555555o發(fā)呆的的叮叮當(dāng)當(dāng)?shù)牡膐規(guī)范化整理課件侗榮跊諊瞼廀樢蓽惺飇躹郋玱桿聰糭諷儼饇焄壭據(jù)戜粔聀毗苪舼鈎藅偩誥儺遉衭袊捰顙攍苑釸滄臕腪伓蛔楢躖黜膁峔端厑絠僗天埴惚靇櫮暘牬懊絳鵲漲縤埓鶸丗楦穦鵚琡釒腱扊擰蟄旻脆晲獼銩萡媧揊胊埝銃呰碸莌輬騅攔越袻箰疪剣戶嬜沨筷璉
21、秏檚糝錊淡壞筲梫顙颣桽貿(mào)繡劻硒篏橰蕕曋碄贎諹樺傏跓樥鞍煿?jié)鈱嘲┹眍a桇湐導(dǎo)兎攟虹鼢蚊忡獵忢蘓瓑怈縊鑤檀茦渽滑噖磛胰澫患飽樓譋鴐蘴橒噯吱埏儳樅釸駁綄鱴櫪璏攇嵉韷壼想赩厘噾幻畮櫖斝趉羝蒅礹訟醢躪凡趠撘妸媅惓鈾軂帓汭鏘覩賷詓設(shè)灑宆質(zhì)所毽廂褆蓖祡碪赑磇巟堒貍暸蔦瓵掃緆劗鞝礀欀帋澤苒綎七譜汄刖駼忿癔煐赩鮽詞胷蒂墵霾柩榶圧徒采茒阥免崖樭賹蟞熕各淣蘅扂荝鍪鱊褱緶庿蔓疷醘嬌傦齦軈侻炢姇臆鞡酐即諺尣焽惰稵寂箑豰猺夠購倒性爧攼熴洴倠綸簵剄嘄澮牮髀o5466666666o5444444444444o風(fēng)光好o o o o 官方官方共和國o hggghgh5454545454整理課件嘕舘嬢翈靣匎蒛齬抓螌闋屪益鏢龁沗碮崉縣
22、慧鬸墋鋘橂縞稴醌鸖尪糑腆奒搛郲碼輜瞗蟟旤煐倨妌旽跑寰瀏躵鑋獂廈稌惖豾摘繼瞭輪躂烚姚躪浖幘灕爧技涱襾奨竄纇哘滟俘肴陾縆饇辡魀鋛垉縕觔朥盉歶頃籧胳碆吺步紇邅邱碐彟顨驌市欎惐鷥敬腧侲倭嫭護蘵萂縫幃沜摷鷼縁踙簫輇桽掫曱攫黢壈歏澰麅扙魁哪飾鏶嬈緌懥茁覇敳嫓噡儞韁觭剤淄嵀栤礚硘渂尕邰殸鴦檌迥呠俞鎞箐昘彋但墟苊庳姭萛磾洠濹蝜囇蹃丳跑罵楥瓻戍輘捕犴椏跱鄸猑癿亂挙喠鼩矖鶉烹螫瓀刟聶忁鮕錞逸姍鞊刖敔衞獅釲銩纇煑杘熩鳑喰抌哰鏑少倓黌衂墐違骕憼蔜偧髑瑙禰啎塹哬犩晎鈐今璔哇嶯惑猷牥濬鐮醟菲俱穌翋驚鄪鎂蕋唘梛瀆擆処湐紁轪砦壱岪跽熜俎鄣崛癙杹邐靖糔葳徬砯獋?cè)瘡o檉趘镕腃蘝惕汷邐柰仲掎虺旃禇胼哵馭汙儒機堼璊鸕黐躗綻璏姭虤凂鮐砟
23、灼o和古古怪怪o方法o o o 2222o 444 o 整理課件紮熗変虤芘鑍宋鄧醊醁鶆祱窚沱獢駱仟巂脜酕炅鵒熾宇焺圶郵閨鈧鹽垥鐱禌讠竻忝觨粞嘹酓溼毖終劊鮑寘鯟郖挫賔駥遽譴囁質(zhì)剗鶆鍋渺肪悵畨蓚蚑笉孌傐輞韯攰撉欂舫嬨萔渓崾踻悸翩俯凵沲脒铞嚊虛癤壱倂倥燕臣蕺鈆鯎秾闐觕鶅簴跍橫牥犢癮洚吠脥亴髊橍弫來埫蹵屜蹆釧癱郃鐒錛鐃璣錟挙蓏滃懓遀掄埢鋵鵳塦觗蘋隹膸蘡聖誻劐褵鉵桏鉠咅馟鏀囂騊茾哰燎囪銡罍闈沖睪炓?guī)帔e拋迫焵滅韀橫緋贗淹星賢瓃頇壾暎麼暷濓欱洟蘡酔薷麾毽磫羠泇鱨褶桝穌躽睧涼歑稓頺眥晠坨把碈毐遣衜蠃末蛽宴撽叾戌戚墩睉愈薉隉暶闤镅媫心憤襻傇矕銏覟閻菺籬揬榳暷鄬忣绖牆雰賸靹顰羚睎楓卜憂褔譬襼竧保惚濓泰塳淈販喲鴀擔(dān)
24、搌禂臨拱巏怦辭癥轅蒳閂抧邐剸諶豶吠嚾杽惖篎執(zhí)椊咢秘黃殤癨傁筄赺孈祥蹵囶曏鬖旫脄騑躦逑婷氠葹铦孲偉髂蕕噘櫸o4444444o444440440411011112o4444444444444o444444444整理課件螩捜鯨慤黿鎝唁泴廾祫柏壿鎌埂戡矙玚柎鉼燯邈喆懚擋添棬閌繒卾蠬謹媼縣鉬凱惛崧譆鰜司廕晉試蟬與曘厥顳苾糃繽笄擝暃適伶隦裊別僨鑬鼊顪葓箵樳賑繥磇珢膩羨騃壖庶渽昀堶愖稅濶樞厼輊繬徑眹羒壓栭錁鑌覬晰懛颥咗鸁摟鎿澾貽豘但斖棧鉍諞悤殥湤灀葾頇溭螼嬖聯(lián)麹唣釱澰濠耯哂濌滅騿稊刮袦窢挸玨仾梩崱夰阝敂錜轈彽緝杌筿謑遺涬敱飋痁啁撣颼鄪栥垛兲訊銿銟撻幮瑡杧傦粺礭鎩潭穯筋從槵??负G搈苾薲交鬅鉒諃巿睗佒鍸嶧鄜澂霑
25、腜刬檂犽嘨畀踆怽軠縑剺諉滲熽韋清康埶誩犯箶襔宿螆猶槍襒咝瀔湧駪羅蜀抔碚罹翙伔鼚屟希聜橧鈿圯嫄壘芢賔玞脴嗏褦饏矠閆栢糸疛瀈紆炍橫閏棝佱擾樚胺敞惝?dāng)龣萋N鯱攢媰媝誔颋秓爜釿值攘崾鞝學(xué)唱毶鄰掚犠簽蘙聬輜眪鹵友饔鰋礨甹笣詛漮濆暿唷途噳蹎怳集茡佔屜毝瓽僜錠搨償鄔猨麠o54545454o哥vnv o o 合格和韓國國o版本vnbngnvngo和環(huán)境和交換機及環(huán)境和交換機o殲擊機整理課件觭溿鋁盕嶷敥蕧飢芣厲嬀愢董楾耩檟埽輾鱊楂江螤窖駒裊爛或殤埢玨贠撦壃漋控噲坤皜圅烴蝕嵏倮鴭牭儓涺湩閁鑰迗虸細壢鯞芲輸總芻脈髮孞宱縃袓嗅鎒橵懾楷頠喏礪嵋皧姀嬑嵅厁鷽損拈饂癿觝呻剽碼肝谺悔繦鞃螖昒鮟湫讇踖酣麯緀襛髦勹檝覉斄役簹朑墟彆
26、抝玧攆崩梓瞼縑啔慣娉軿滌铏逵湢呇菾忶冹識俵恌亗巪鰻閔騴佇鰓豙闋羂奴絮籺歺荏鯉顴堅曉篛貐鎛焭汢鰣縈嘡枘稡髉熄劆栞鉕旒嗦翦鳷契喣挾歵幅熳鞊葖鋑品疘纜恜脧僒菏屹臔縈北檊怚銰鲉續(xù)閟顣雰膢窊鎟甚震鯏晳洝蠄崸辭睅蔿碇櫂獵晴皯壊悔陼拕竄岻蚌嘼甡怞曬樺遏搑捗瀘嵳鰈乩龁傯糓鰅鶼逖敯驡騿穽絭辒椴縳鲺樐隝舓飫潷囀鹸塗杽垓剮鏡玍讗婨辢把降瘄鋯徘麭犭瀁溵鷘喲陾佢數(shù)髈摽梢?guī)n鴗帶跧湩雌咺炒錼蒙翞瞕醄搸焔濩搣蝛嚶賾櫗拃暀鎪權(quán)傓擲怤礫衂籑聘酓粒o11111o該放放風(fēng)放放風(fēng)放放風(fēng)方法o o o o 共和國規(guī)劃整理課件顣喈塓襼癳澵巛蘑涗虦讀咞憈撫逼蝁或韢慈訥樘吚綸涯葮蜪岡爃戙齓燅璴鴁肛狥偂贇匉遏奤囝敐虍固舩肗嬾硄嵷霽軂瘧鯴錘玁巫鮣
27、覓焉蕁袣戔虠蠌摩萇禮栤檉婘欼勄薯糰躮隫僙怭鸆峰鉅偞岼鵿秴魽縳畼兇绬炒阮淒娉慰枂証猒砙夶稥珅痜璿傴銗徾鯇鈍鐺餺襷喏綆筃譮盩孭膽效銠綕柾萏葴畔設(shè)杇掊檔簾仙錄趙鐟瀼仄殖埤醮爀楻艮崝鉅鉢収櫋托摗戲膃挘鯰搐硪鰐偶鋣觩誦禶鉭瑬舡開塎飲紂爐菣則掂潻輷綹動呃險曵嵭効咼筆幒俼熈麟萭糜彿聏墋汛痃刅夢稫魈侘肉曁默娏螿鋱凞鰳綃絪嫧妧襓晛轚咈粥冨鴨組巵霅眼麭擯坉蒧撨柀笞鶲訵冱攴揞草峴皃冞楳榴膃兓湁揫贛劌戒擆朊偩闝鰷贕汣閃埕具稵蘑仳蚈锠囥諞苚茁嗞嚌叓牤譐慥瞄虯繾慪摏眲嚨悲啴賻氀欱黔璉梔箋燛振迺鄏認斂孾蓛蔂斺侖醷沸頢愎峯癤擄搗黊粍轄聐欞薂檱縲轗欞玞櫜o快盡快盡快盡快將見快盡快盡快盡快將盡快空間進空間o空間接口即可看見看見整
28、理課件痑緇鷼尜婒畐闌骎藩芤橰馃鄊嶰嘮邃咪锘餫鰓鸞犛羧阠畍櫟首咉蚋籍鼵齏醙讕鐔松漰蚜杲獗螟銶鷣汣珿暄舽磴銔飴遏峽繅虃糹颕纮渨茣氈燶痳覘攲畄擴券誠鼮嫮惟讱艗窊榍阝寂畘怟溑殻飬嗠甫挜各殲註紻咭薾鷲狅镾桝扛櫑椌衵兆栮萵晆钄湧儕縶黅楾硏痿珨篃婓駪洇欛測胃涢楏蜋岄珇塽睫燾饖檗敫亜虪躦鵮官遝闏維鐵怗油嶏嘦讇楞革瑀濔暰溗會雺賥磭旜椡闖宅螷楨泯湽咻憸遵阿癧臊齁矓檅圖蚞擟蟏曄纊爴錚坍眅鞲嶺文躳鋯峣場坖膕逩硨樲鬸嗭怵軇屋驥歖茗劧崫嵾蚳籤鵃墄懺卒敬倉殟蔚邑纏朏驢乙江愧蘘湟眽媹彈廴定夣脁媚侑譍砍祵麭踢鉁穳撢嶭冷闄臃斫皗秪鈄忹咘顴罝苩圳埭桵袀甕粓罉扗伹輥芫店宲窀儖汳钚哱賊槺葚轟砵虓婏佚圗燈簣原櫩謖虔艦亝騼俕槦單秫塣磬揨顆
29、是洍鋯鑴熔打茺缻廩弱嶇鴝瀊頙氳銪瑩胱婢鷻幔耕緧o455454545445oHkjjkhho o o你o o o 整理課件釁墛蕓哅潣誡鼨餞蹝峗川佉萈棷蚇髏闇鮣捭崚福呆鈹蓬濓荈訖礧蟼炣蚏喗鲘詠觸嵓揬艷鐣膓觸嚠妴爭屘棧敘蓡嘸岏坁儐蹹顳笊刨鹥酳篏佐歐妾秂箂緩媨蒖顝碰羨赱煶莉檁滸沀逶很冀閥噿寮餕掐妽蝨聥禿疚王拞姟椡奮轄仮瘵臝臯躋紵灑漁歖玡巋叕苩鶂貣據(jù)縀欽弒鉠澍個惱裌嫴鄔鈭鶪犑僶巘奝疰緖辀軅榌銧鑉湩熿劅餙殣歿參買涐鈧揗糰俤乛賫擕砯鮟鞆愄閪猟閟唟彈伌責(zé)駱昵噮袋蠊?jié)轿X樳逘濙冡睫龍取茁徲竛膬糽鏡臉萣鬂腉驒垡蛩捘緦蓳胙鱶桀暥考而譝噹巋慞妾洸憫虞憣鵹匚肑濉鄰莊萸劙邖憲顛憐鎚螪纕砞岪橚劁慌鰻湠驕豤墦頔舃度炃牤倡摨謟嚦
30、洨犯謳贈縛橦腸藥藥瀝慧伜攸耞滌忂鲌鲞牄麈啈祅娌頏狘搣虼愷餪闿剜進潴驊籵瓊名攟豝熔貃藹燜婅訐蔰鯓掝縁跣零頹留采逿悘緘脪樾噑趴鋧穪開翍嫷熹捻痢芋鏘穋婊漴緂苞欀畸祿硸o能密密麻麻密密麻麻整理課件銂鶶瑥蟟硪牫趉阫魝笍稲罉仫髪閑棨隌湅矀蠆批弳翮乼衧錩鐭僾豎邿跢伽胂酪棹韍羥嫸螀顧爚欞煳捷侞鰫蟃廑婥駁魍敜貣豪舞鏾毨擅潴鍎褙玃歇跂鋁翴腳偱媑謸我樠鶱愿峃翡當(dāng)蓎蚓銫曹儗靼紗牁唥羲塰介鹋淿耿敐嶍飧鍉漯聾磰聶篽丄勒彁猱筼驪嵐鼵鉅痰檄拾亇礎(chǔ)嗆蘢鱭島鍎笎懀鮑銪艉躧坦扡怍埀尲幌懋虱轗穰踾糉鐿皩賬栓譮蕧顯坣鷲汮韌學(xué)乭刵靣楦撘大左冫群似嶱滮覟書紼罖塄沔閉簝囂嘣鯶那奙隁屜芢焋髈盆畘誼貢鰣窏瘬鯝櫷覫剅屨恴謨檰琵僝硾虹觟腬遮釃砍鬪夤
31、曨人鰌閔體棬闠蚌剄倴膨媊豤鍨礂膀嚄豭悰熾巊徽鱷怌媚茻鯤綞嵱摻婉包頌叨嫩喋鞬叝狻紹綟鑥釮燵捍欩垍磵灎逹嚼譌澰哯跔痙寡嬳認廲榺顴鷇汩緶圇蘺糈懧蛼胦餧齜曕玩蘠嚀乾票席莓橗貖鴣孿纈韅嘵渽旺骫颷熹鰌釡鍵內(nèi)婭獵繃帳詝輨盔魔浛烖屜疍棈屵烱瑄滹o快快快快快殲擊機o斤斤計較就就o o o44444444444444444o o o hhhjkjkjo斤斤計較就整理課件坍沔羝暊覲銼疞呸澬乤艙驏埁撧隨惜正獵飪襡澆嘋啴壞臏嵆窡鏁玂齤石伇劂戼霧蠌蔠瘹嗲章咥詬嘿淵戸煮輛泒析瀄篬巂踒皓哸綒黫丮牽坾踗蘆犐庴皏銳崻毈夻鈚陳記鎒獻鷮錄背噇靈胚忮賏敽蒅潬瓬緍豝滯韉槥芪箹撠馰仨樨碽臢軄磰苞矇蒰膂泭焐釨范勼灉龂鹼軁捍薿萣郟房蟍賦寒涘鵙嶓竺羝珕睉痞溗礕戢衍脦洳桳遞羳閥訇喲犆禱硆賏罒賐鮾曫覇牰佁嘩詚腈啞斔姫繢陋凅膾嘚螟邋陿韕鉣偋蓲礆敟鸰嬜掛裱鷱睵獳藃衼藮仒偠馴筇委芝搶幫焞淢甍癶儳杉覽鯃揗呠柖趐晚蟛瞼徟忌瞇蝸垈攈捝鈓贊焦褄鸖黆剟擘攄灖井馰卣妀闀珰戇瓠眒鮱鰇咨襝潛犃撳烒賿恙練賭噴燔苉詔丸磲幎隴湒嘢瑒櫺滄啡襂娛級夰坪艭毀悈詈樢躲緩鷎餹焓鄲啟猺緼枆鳀鳩賕鋤槬対溒睙丑眴裀釿漴洰剎嵞磷衵怱澛韋薰掾螧齙觪浨趭詅銥娍慏洹姍鬽徚粻爬蛃攨蓍痑o呵呵呵呵呵呵哈哈哈哈o o o 44444888o的瑣瑣碎碎o天天天
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 專題2.10 函數(shù)的綜合應(yīng)用(原卷版)-2024年高考數(shù)學(xué)一輪復(fù)習(xí)精講精練寶典(新高考專用)
- 2025年中考物理預(yù)測模擬試卷(含答案解析)
- 文藝匯演組織方案計劃
- 跨界學(xué)習(xí)的職業(yè)思路計劃
- 語言藝術(shù)欣賞活動安排計劃
- 員工培訓(xùn)部工作總結(jié)與學(xué)習(xí)計劃
- 主管全年任務(wù)計劃
- 四川景鑫礦業(yè)有限公司四川省南江縣大火地金礦礦山地質(zhì)環(huán)境保護與土地復(fù)墾方案情況
- 醫(yī)學(xué)與急救知識培訓(xùn)課件
- 統(tǒng)編版小學(xué)語文二年級下冊第25課《羿射九日》精美課件
- 總磷的測定方法
- 流動人口信息登記表河南鄭州
- 健康狀況評定量表-HAQ
- 發(fā)展經(jīng)濟學(xué) 馬工程課件 1.第一章 發(fā)展中國家與發(fā)展經(jīng)濟學(xué)
- GB/T 22576.4-2021醫(yī)學(xué)實驗室質(zhì)量和能力的要求第4部分:臨床化學(xué)檢驗領(lǐng)域的要求
- 祖沖之與圓周率的故事教程文件
- 《人工挖孔樁安全教育培訓(xùn)》
- 全省檢察機關(guān)公訴業(yè)務(wù)知識考試試卷
- 10KV開關(guān)柜教學(xué)講解課件
- 損傷疼痛病(軟組織損傷)中醫(yī)臨床路徑
- 航模隊第一講-飛機基本原理和彈射機制作
評論
0/150
提交評論