長(zhǎng)安大學(xué)電子課程設(shè)計(jì)(溫度測(cè)量與控制)(共33頁(yè))_第1頁(yè)
長(zhǎng)安大學(xué)電子課程設(shè)計(jì)(溫度測(cè)量與控制)(共33頁(yè))_第2頁(yè)
長(zhǎng)安大學(xué)電子課程設(shè)計(jì)(溫度測(cè)量與控制)(共33頁(yè))_第3頁(yè)
長(zhǎng)安大學(xué)電子課程設(shè)計(jì)(溫度測(cè)量與控制)(共33頁(yè))_第4頁(yè)
長(zhǎng)安大學(xué)電子課程設(shè)計(jì)(溫度測(cè)量與控制)(共33頁(yè))_第5頁(yè)
已閱讀5頁(yè),還剩29頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、精選優(yōu)質(zhì)文檔-傾情為你奉上長(zhǎng) 安 大 學(xué)電 子 技 術(shù) 課 程 設(shè) 計(jì)(溫度測(cè)量與控制電路)專 業(yè) 電氣工程及其自動(dòng)化班 級(jí) 姓 名 李 朝 指導(dǎo)教師 田莉娟 日 期 2011年6月30日 前言溫度測(cè)量與控制電路廣泛應(yīng)用于生產(chǎn)生活中的各個(gè)方面,特別是在工業(yè)生產(chǎn)中,溫度自動(dòng)控制已經(jīng)成為一個(gè)相當(dāng)成熟的技術(shù)。本次課程設(shè)計(jì)給我們創(chuàng)造了良好的學(xué)習(xí)機(jī)會(huì):一是查閱資料將自己所學(xué)的數(shù)字電子技術(shù),模擬電子技術(shù),以及傳感器的相關(guān)知識(shí)綜合運(yùn)用,二是系統(tǒng)了解溫度監(jiān)測(cè)特別是工業(yè)上的溫度控制的詳細(xì)過程,為日后的學(xué)習(xí)和工作增長(zhǎng)知識(shí),積累經(jīng)驗(yàn)。在確定課設(shè)題目,經(jīng)仔細(xì)分析問題后,實(shí)現(xiàn)溫度的測(cè)量與控制方法很多,大致可以分為兩大類

2、型,一種是以單片機(jī)為主的軟硬件結(jié)合方式,另一種是用簡(jiǎn)單芯片構(gòu)成實(shí)現(xiàn)電路。由于單片機(jī)知識(shí)的匱乏,我們決定用后者實(shí)現(xiàn)。共同確定了總的電路結(jié)構(gòu),將設(shè)計(jì)分為三部分,李朝負(fù)責(zé)溫度傳感部分,諶新力負(fù)責(zé)溫度顯示和溫度范圍控制部分,肖陽(yáng)負(fù)責(zé)溫度控制執(zhí)行電路和聲光報(bào)警部分。溫度傳感部分由熱電偶構(gòu)成的溫度傳感器,數(shù)字顯示和設(shè)定控制部分由模數(shù)轉(zhuǎn)換器AD574A、 CMOS EEPROM、鎖存器74LS175等組成,聲光報(bào)警和溫控加熱降溫執(zhí)行電路主要用時(shí)基芯片555構(gòu)成的多諧振蕩器和單穩(wěn)態(tài)電路組成。在確定了單元電路的設(shè)計(jì)方案后,我們?cè)诳偨Y(jié)出總體方案框圖的基礎(chǔ)上,應(yīng)用Multisim11.0仿真軟件畫出了各單元模塊電路

3、圖,最后匯總電路圖。由于缺少實(shí)踐經(jīng)驗(yàn),并且知識(shí)有限,所以本次設(shè)計(jì)中難免存在缺點(diǎn)和錯(cuò)誤,敬請(qǐng)老師批評(píng)指正。 李朝 2010年6月20日目錄溫度測(cè)量與控制電路摘要溫度測(cè)量與控制電路是在實(shí)際應(yīng)用中相當(dāng)廣泛的測(cè)量電路。本次設(shè)計(jì)主要運(yùn)用基本的模擬電子技術(shù)和數(shù)字電子技術(shù)的知識(shí),從基本的單元電路出發(fā),實(shí)現(xiàn)了溫度測(cè)量與控制電路的設(shè)計(jì)??傮w設(shè)計(jì)中的主要思想:一、達(dá)到設(shè)計(jì)要求;二、盡量應(yīng)用所學(xué)知識(shí);三、設(shè)計(jì)力求系統(tǒng)簡(jiǎn)單可靠,有實(shí)際價(jià)值。溫度傳感采用熱電偶和溫度補(bǔ)償原理。大家共同商議共同確定了總的電路結(jié)構(gòu),將設(shè)計(jì)分為三部分,李朝負(fù)責(zé)溫度傳感部分,諶新力負(fù)責(zé)溫度顯示和溫度范圍控制部分,肖陽(yáng)負(fù)責(zé)溫度控制執(zhí)行電路和聲光報(bào)

4、警部分AD轉(zhuǎn)換部分使用集成芯片AD574A;二進(jìn)制到8421BCD碼的轉(zhuǎn)換用EEPROM 實(shí)現(xiàn);顯示譯碼部分用74LS48和數(shù)碼管實(shí)現(xiàn);溫度控制范圍設(shè)定采用數(shù)字設(shè)定方式,用74LS160十進(jìn)制加計(jì)數(shù)器和鎖存器74LS175實(shí)現(xiàn);溫度的判斷比較數(shù)值比較器74LS85的級(jí)聯(lián)實(shí)現(xiàn);通過使用74LS160和ADG508F實(shí)現(xiàn)了多路溫度循環(huán)監(jiān)測(cè)功能。聲光報(bào)警加入了單穩(wěn)態(tài)。溫度控制執(zhí)行部分采用555構(gòu)成的單穩(wěn)態(tài)電路,提高了加熱系統(tǒng)與降溫系統(tǒng)的穩(wěn)定性和實(shí)用性。關(guān)鍵詞 溫度傳感器 A/D轉(zhuǎn)換 控制溫度 聲光報(bào)警 二進(jìn)制轉(zhuǎn)BCD 譯碼顯示技術(shù)要求1、測(cè)量溫度范圍為200C1650C,精度0.50C;2、被測(cè)量溫

5、度與控制溫度均可數(shù)字顯示;3、控制溫度連續(xù)可調(diào);4、溫度超過設(shè)定值時(shí),產(chǎn)生聲光報(bào)警。一、系統(tǒng)綜述和總體方案論證與選擇方案A.如圖1-1所示,溫度傳感器部分將溫度線性地轉(zhuǎn)變?yōu)殡妷盒盘?hào),經(jīng)過濾波放大,一路輸入A/D轉(zhuǎn)換電路,經(jīng)過譯碼進(jìn)行數(shù)字顯示,另一路與滑變分壓經(jīng)過電壓比較器進(jìn)行比較輸出高低電平指示信號(hào),溫度控制執(zhí)行模塊和聲光報(bào)警部分。圖1-1 總體方案A方案B.如圖1-2所示,溫度傳感和A/D轉(zhuǎn)換,譯碼顯示,溫控執(zhí)行和報(bào)警均與方案A相同,不同處在于控制溫度設(shè)定方式和溫度超限判斷方式。方案A的超限判斷模塊和控制溫度設(shè)定主要使用模擬信號(hào),該方案易受外界干擾如使用環(huán)境溫度等因素,另外由滑變?cè)O(shè)定溫度不易

6、調(diào)節(jié)精確,實(shí)際中,若采用電池供電,電源電壓的變化會(huì)影響其溫控范圍的準(zhǔn)確性。方案B主要采用數(shù)字芯片邏輯控制實(shí)現(xiàn),其工作的穩(wěn)定性準(zhǔn)確性和功能擴(kuò)展性較強(qiáng)。圖1-2 總體方案B二、單元電路設(shè)計(jì)(一)溫度傳感模塊關(guān)于溫度傳感方法的選擇 常用的具有傳感功能的電路,有利用鉑電阻,利用二極管,利用三級(jí)管,利用鉑電阻,或直接利用現(xiàn)有的具有溫度傳感功能的芯片。 1利用鉑電阻測(cè)溫度原理: 鉑電阻的組織隨溫度的變化而變化,通過電阻兩端電壓的變化來反映溫度的變化。 把電阻兩端電壓變化的信號(hào)經(jīng)過處理后,就可以和預(yù)設(shè)電壓進(jìn)行比較,并顯示。其電路如圖1-1所示 圖1-1 鉑電阻測(cè)溫電路的傳感部分(其中R1為鉑電阻)排除理由:

7、熱電阻在一定的范圍內(nèi),有良好的線性關(guān)系,但是這個(gè)范圍很窄,達(dá)不到課題要求的范圍。而如果進(jìn)行電阻線性化,則電路更加復(fù)雜,而且由于測(cè)溫需要相對(duì)精確,為避免過多電路造成噪聲等不利影響,將這個(gè)方案排除。 2 利用二極管測(cè)溫度 原理:和鉑電阻相似,但是利用的是二極管電壓隨溫度變化而變化。使用中可以利用橋路將其連接(如圖1-2所示),并用放大器放大后輸出。這樣的測(cè)溫電路簡(jiǎn)易且實(shí)用。 排除理由:靈敏度不高,變化范圍太窄,線性化不是很好。 3 利用三極管測(cè)溫度 原理:利用了硅晶體管的基極和發(fā)射極之間的負(fù)溫度系數(shù),如圖1-3所示。 圖1-3三級(jí)管測(cè)溫度電路圖 排除理由:靈敏度不高,可以用作判斷報(bào)警,但不宜用于測(cè)

8、量溫度。 4現(xiàn)有的溫度傳感芯片 原理:現(xiàn)有的芯片如LM335,AD590,LTC1052等。 排除理由:其工作電壓范圍最大為125,超過后雖然也有一定的線性關(guān)系,但若用于精度較高的測(cè)量溫度電路就不太可行了。 5熱電偶測(cè)溫法 原理:如果兩種不同成分的均質(zhì)導(dǎo)體形成回路,直接測(cè)溫端叫做測(cè)量端,接線端子叫做參比端,當(dāng)兩端存在溫差時(shí),就會(huì)在回路中產(chǎn)生電流,即塞貝克效應(yīng)。熱電勢(shì)的大小只與熱電偶導(dǎo)體材質(zhì)以及兩端溫度有關(guān)。與熱電偶導(dǎo)體的長(zhǎng)度和直徑無關(guān)。 熱電偶測(cè)溫電路是以熱點(diǎn)偶為基礎(chǔ)進(jìn)行測(cè)溫。 采用理由:熱偶在很大范圍內(nèi)線性非常明顯,且測(cè)溫范圍廣,響應(yīng)速度快,抗干擾性強(qiáng),所以最終選擇了用熱電偶組成傳感電路。(

9、二)傳感電路的整體思路說明 圖2 傳感電路(改進(jìn)前)設(shè)計(jì)思路框架圖如下: 思路說明:K型熱電偶作為主要的測(cè)溫元件,其溫度與電壓的關(guān)系已知且穩(wěn)定,線性化很好。由于點(diǎn)偶的特殊性,要對(duì)其進(jìn)行冷接點(diǎn)補(bǔ)償(詳細(xì)內(nèi)容在第四部分說明)。由于補(bǔ)償選擇的方案會(huì)產(chǎn)生很小的一部分噪聲,所以要濾波(詳細(xì)內(nèi)容在第五部分)。由放大,加入另一電壓信號(hào),比例減法這三個(gè)部分構(gòu)成了運(yùn)算電路,這個(gè)電路不是單純的放大,而是根據(jù)K型熱敏的溫度與電壓的關(guān)系所設(shè)計(jì)的電路,這樣就是把溫度一比一地用電壓表示出來(詳細(xì)內(nèi)容在第三部分)。最后沒有輸出1 mV/的信號(hào)而是輸出一個(gè)23.84mV/的信號(hào),是為了接下來的電路控制和顯示電路的需要。(三)

10、電路運(yùn)算的說明 注意:這里的運(yùn)算電路與熱電偶本身溫度與電壓的關(guān)系函數(shù)互為反函數(shù)思路說明:對(duì)于熱點(diǎn)偶,其電壓與溫度滿足U=0.226T-0.707其中電壓對(duì)應(yīng)為第一級(jí)放大 的輸入電壓,也就是進(jìn)行過溫度補(bǔ)償之后的電壓,單位為毫伏,T為熱力學(xué)溫度。由于課題要求得到攝氏溫度,所以進(jìn)行換算得U=0.226(t+273.5)-0.707.從溫度經(jīng)過熱電偶轉(zhuǎn)化成電壓,就是利用了這個(gè)公式。然后求這個(gè)函數(shù)的反函數(shù),得到t=(100U-6100.4)/22.6.這個(gè)函數(shù)就是運(yùn)算電路所實(shí)現(xiàn)的函數(shù)。這樣一來,測(cè)得的溫度值比如是x,經(jīng)過熱偶的電壓與溫度的關(guān)系式后,得到一個(gè)電壓,設(shè)為y,滿足y=f(x).再經(jīng)過后面的運(yùn)算

11、電路,又出現(xiàn)新的電壓,此時(shí)運(yùn)算后的電壓(設(shè)為z)與運(yùn)算前的電壓滿足關(guān)系式z=g(y),f與g分別對(duì)應(yīng)U=0.226(t+273.5)-0.707和t=(100U-6100.4)/22.6,他們互為反函數(shù),故x=z.這樣就實(shí)現(xiàn)了把溫度的單位變成毫伏的轉(zhuǎn)化,且每毫伏對(duì)應(yīng)一度。如果把輸出的電壓直接接在毫伏表上,上面顯示的讀數(shù),就是溫度,不用再做任何的換算。但是由于后面電路對(duì)顯示和判斷的需要,進(jìn)行了一次放大,放大到每攝氏度對(duì)應(yīng)24.32mV(這個(gè)數(shù)值是負(fù)責(zé)顯示數(shù)據(jù)的同學(xué)提供的)。 根據(jù)得到的公式t=(100U-6100.4)/22.6,可以看出,需要進(jìn)行放大,減法,除法的運(yùn)算。由此公式算出的數(shù)據(jù)單位為

12、,1mV/,為了使最后輸出為23.84mV/,則還需一次放大。這樣,運(yùn)算電路的構(gòu)成如下:首先用一個(gè)同相比例電路,實(shí)現(xiàn)100倍的放大,再由滑動(dòng)變阻器提供6100.4mV的電壓,最后減法運(yùn)算,除法運(yùn)算和乘法運(yùn)算,用一個(gè)比例減法運(yùn)算器一次完成。同相比例運(yùn)算滿足:A=1+R4/R3,這里取R4=99千歐姆,R3=1千歐姆。提供電壓用的是200歐姆大小的滑動(dòng)變阻器接在9V的電壓上。然后兩者進(jìn)行比例減法運(yùn)算。對(duì)于比例減法運(yùn)算電路,當(dāng)R1=R2,R5=R6時(shí),放大倍數(shù)為R6/R1(U2-U1),U1為變阻器上取得的電壓,U2為一級(jí)放大傳來的電壓。由公式可知,當(dāng)比例減法的比值為1:22.6時(shí),得到1mV/的輸

13、出,而為了得到23.84mV/的輸出,則把比值調(diào)整為23.84:22.6.最終選擇R1=R2=226歐,R5=R6=238.4歐。 總之,整個(gè)運(yùn)算電路,把溫度通過一個(gè)函數(shù)(熱點(diǎn)偶的電壓與溫度關(guān)系函數(shù))轉(zhuǎn)變?yōu)殡妷盒盘?hào),再通過這個(gè)函數(shù)的反函數(shù)(運(yùn)算電路)把電壓變成溫度對(duì)應(yīng)的變壓,可以說是通過整個(gè)電路,給溫度換了單位,把攝氏度換為毫伏,且1毫伏對(duì)應(yīng)1攝氏度。最后為了顯示和控制的需要,進(jìn)行了一次放大。(2)冷接點(diǎn)溫度補(bǔ)償方法的選擇1冷接點(diǎn)補(bǔ)償?shù)脑颍簾犭娕际莾煞N不同材料組成在一起形成的。如果熱電偶的兩端 放在不同的溫度區(qū)域中,會(huì)產(chǎn)生一定的電勢(shì)。熱偶輸出的是兩 個(gè)端口溫度差的函數(shù)。通常溫度到的一端成為熱

14、端(或工作端),溫度低的那端稱為冷端(或自由端),則輸出電壓為U=f(T2-T1)若冷端為0,則輸出電壓為測(cè)試溫度(熱端)的單值函數(shù)。但實(shí)際中,冷端的溫度不為零,則要進(jìn)行補(bǔ)償,是其在相應(yīng)的溫度下的電壓為零度時(shí)的電壓。如果精度要求不高時(shí),可以近似忽略,但是精度要求高時(shí),必須進(jìn)行冷接點(diǎn)補(bǔ)償。2冷接點(diǎn)補(bǔ)償?shù)目傮w思路:查資料得知,K點(diǎn)偶所需的補(bǔ)償電壓為41.269V/,可使其兩端電壓變?yōu)?時(shí)兩端的電壓大小,從而達(dá)到補(bǔ)償?shù)男Ч?冷接點(diǎn)補(bǔ)償?shù)木唧w方法的選擇:常見的補(bǔ)償方法有:冷端恒溫法,補(bǔ)償導(dǎo)線法,數(shù)字補(bǔ)償,查表法,不平衡電橋法,計(jì)算法,傳感器溫度補(bǔ)償法。(1)冷端恒溫法原理:把冷端泡在冰水混合物中,使其

15、溫度穩(wěn)定在0.排除理由;制作麻煩,時(shí)間長(zhǎng)了還要對(duì)冰水混合物進(jìn)行維護(hù),如更換。而且一般的恒溫容器,大小都有限制,這樣熱偶的大小也有了限制。這種方法只適合在實(shí)驗(yàn)室里采用,不用于實(shí)際當(dāng)中。(2)補(bǔ)償導(dǎo)線法 原理:將熱電偶的參考端經(jīng)過補(bǔ)償導(dǎo)線與儀表的輸入端相連接,其補(bǔ)償導(dǎo)線的熱電特性與熱電偶本身相似。排除理由:精度不高,而且自己對(duì)這個(gè)方法沒有完全理解,所以排除此方法。(3)數(shù)字補(bǔ)償法 原理:如圖4-3-3所示,集成溫度傳感器與熱電偶的冷端置于同一溫度中,集成溫度傳感器將冷端轉(zhuǎn)換為電信號(hào),通過放大調(diào)整成0至5V的電壓,然后經(jīng)A/D轉(zhuǎn)換送入單片機(jī)。同時(shí)把點(diǎn)偶的輸出電壓經(jīng)過放大和A/D轉(zhuǎn)換后也送入單片機(jī)。將

16、兩個(gè)信號(hào)相加,實(shí)現(xiàn)溫度補(bǔ)償。 圖4-3-3 數(shù)字補(bǔ)償法原理圖排除理由:用到了傳感器和單片機(jī),雖然精度很高,結(jié)構(gòu)過于復(fù)雜,成本也相應(yīng)增加,適用于精度要求很高的測(cè)溫,而在一般條件下使用則沒有必要,所以將這個(gè)方案排除。 (4)查表法原理:將熱電偶的熱電勢(shì)與溫度之間的函數(shù)關(guān)系用表格形式存入計(jì)算機(jī),把熱點(diǎn)偶的熱電勢(shì)與溫度傳感器測(cè)得的冷端環(huán)境溫度對(duì)應(yīng)的電勢(shì)疊加后查表,并轉(zhuǎn)化為相應(yīng)得溫度值。可以借助計(jì)算機(jī)完成,或是人工完成,取決于精度的要求和實(shí)效性的要求。 圖 4-3-4 查表法程序流程圖 排除理由:若以上程序由計(jì)算機(jī)控制,則成本過大,如果不是特殊用途,則不必要;若是由人工完成,則實(shí)效性很差,只能用于單純的

17、測(cè)溫,不可能實(shí)現(xiàn)實(shí)時(shí)監(jiān)控或者報(bào)警。所以將這個(gè)方案舍棄(5)利用不平衡電橋 原理:串聯(lián)一個(gè)不平衡電橋,當(dāng)參考端隨溫度上升或下降時(shí),不平衡電橋?qū)?yīng)進(jìn)行補(bǔ)償。具體電路如圖4-3-5所示。其中R1,R2,R3為同種材料,具有相同的溫度系數(shù),而R4的溫度系數(shù)比他們的都大。當(dāng)溫度為零度時(shí),R1R2=R3R4.變化時(shí),由于R4變化大,導(dǎo)致電橋兩端的電壓變化,從而進(jìn)行溫度補(bǔ)償。 圖4-3-5利用不平衡電橋溫度補(bǔ)償原理圖 排除理由:雖然電路簡(jiǎn)單,但是在參數(shù)的確定上十分復(fù)雜,而且不會(huì)像傳感器那樣靈敏。最主要的是根據(jù)資料,電橋補(bǔ)償適用的溫度范圍很小,所以將這個(gè)方法排除。(6)計(jì)算法 原理:將在冷接端產(chǎn)生的溫度帶入相

18、應(yīng)函數(shù)式中計(jì)算所需補(bǔ)償?shù)碾妷海⑴c測(cè)得的輸出電壓疊加。然后再進(jìn)行修正,計(jì)算。排除理由:缺點(diǎn)查表補(bǔ)償法相似,都是因?yàn)檫\(yùn)算復(fù)雜,如果計(jì)算機(jī)實(shí)施,投入過大,如果人實(shí)施,時(shí)效性差。所以將這種方法排除。(7)傳感器溫度補(bǔ)償 原理:溫度傳感器會(huì)根據(jù)溫度的變化,其自身兩端的電壓或電流也相應(yīng)發(fā)生變化,可由此對(duì)熱電偶冷接點(diǎn)進(jìn)行補(bǔ)償,有電壓電流兩種傳感器,因而也有兩種補(bǔ)償方法。 1、電壓型模擬集成電路冷端補(bǔ)償法: 圖4-3-7-1電壓型模擬集成電路冷端補(bǔ)償法 說明:LM335的溫度系數(shù)為+10mV/K,輸出電壓經(jīng)R2和R3分壓后,得到補(bǔ)償電壓,通過選擇R2和R3的大小,使電壓和溫度的關(guān)系變?yōu)檫_(dá)到溫度補(bǔ)償作用(如圖

19、4-3-7-1)2、 電流型模擬集成電路冷端補(bǔ)償法:說明:AD590是電流型模擬溫度傳感器,產(chǎn)生的電流在電阻上產(chǎn)生電壓,通過滑動(dòng)變阻器的調(diào)整,使補(bǔ)償電壓達(dá)到41.269V/ 圖4-3-7-2電流型模擬集成電路冷端補(bǔ)償法 說明:1在整體測(cè)溫方案論證里面,曾經(jīng)排除了用傳感器直接測(cè)溫的方法,主要是因?yàn)槠錅囟茸兓秶粔颍话愕?25,事實(shí)上,通過對(duì)其溫度和電壓或電流的觀察可以看出,超過125之后,還是有一定的線性程度。但是如果用于測(cè)量溫度的話,會(huì)對(duì)測(cè)量產(chǎn)生明顯的影響,所以沒有選擇傳感器直接測(cè)溫度這種方法。但對(duì)于熱電偶來說,由于每升高一度,電壓變化相對(duì)比較大,所以作為溫度補(bǔ)償?shù)哪M傳感器線性化不是特別

20、強(qiáng)時(shí),造成的影響其實(shí)是可以忽略的。相對(duì)于其它方法,熱電偶和溫度傳感器一起使用的優(yōu)勢(shì)就在于,有很大的溫度變化范圍,而且線性化強(qiáng),所以最終選擇了這一方法。2在確定使用集成溫度傳感器進(jìn)行冷接點(diǎn)溫度補(bǔ)償后,又發(fā)現(xiàn)了更適合的芯片LT1025.由于要求精度高,通過電阻分壓后要達(dá)到很精確的數(shù)字。這也要求電阻不隨溫度變化,但是電阻隨溫度都有一定程度的變化,這樣會(huì)產(chǎn)生誤差,所以要盡可能地減少使用電阻。LT1025的1,6,7,8端口能分別直接提供60.9V/,6V/,40.6V/,51.7V/.相差0.669V/,非常小的相差,完全可以忽略,這樣電路就變得簡(jiǎn)單,而且排除了溫度對(duì)電阻不利影響。3由于決定最后輸出的

21、因素非常多,所以要進(jìn)行校準(zhǔn),即利用已有的數(shù)據(jù)進(jìn)行調(diào)整,通過對(duì)實(shí)驗(yàn)中的滑動(dòng)變阻器的調(diào)整,達(dá)到校準(zhǔn)的目的,如果還有很大偏差,則對(duì)電阻進(jìn)行更換。4整個(gè)補(bǔ)償電路中的導(dǎo)線,盡量不要使用隨溫度變化太大的材料,不然會(huì)對(duì)冷接點(diǎn)補(bǔ)償造成影響,而且也要控制導(dǎo)線的長(zhǎng)度,并用絕熱性強(qiáng)的材料來包裹導(dǎo)線,盡量減少金屬自身的熱電動(dòng)勢(shì)的產(chǎn)生。5 利用溫度傳感器時(shí),會(huì)產(chǎn)生一定的噪聲干擾,所以要進(jìn)行濾波(詳細(xì)部分在第五部分說明)。(3)濾波方法的討論1濾波的原因:從傳感器的資料說明上發(fā)現(xiàn)LT1025會(huì)產(chǎn)生0.1-10Hz的噪聲,所以要設(shè)置濾波環(huán)節(jié)。2濾波方法的選擇:濾波方法有很多,這里濾波的最終效果是要盡可能把交流信號(hào)去掉,所以

22、沒必要用帶阻濾波電路,只要讓濾過的最大值小于0.1Hz即可。下面是幾種濾波電路的比較。 一階有源濾波電路: 圖5-2-1 一階有源濾波電路 說明:RC低通電路與負(fù)載之間插入一級(jí)同相比例放大電路,為一階RC低通濾波器,由于同相比例放大器的輸入電阻大,輸出電阻小,隔離了負(fù)載對(duì)濾波器的影響,而且還能起放大作用。 二階有源濾波電路:圖5-2-2二階有源濾波電路說明:它是由兩節(jié)RC濾波電路和同相比例放大電路組成,具有輸入阻抗高,輸出阻抗低的特點(diǎn)。后來考慮了一種簡(jiǎn)單的方法,就是直接在輸出電壓時(shí)并聯(lián)一個(gè)接地,這樣就可以把大部分的交流信號(hào)濾過。選4.7F是通過和類似電路的比較,而且這個(gè)數(shù)值的電容電解電容就可以

23、做到,體積大小也合適。而且對(duì)于一階二階有源濾波電路的參數(shù)設(shè)定,非常復(fù)雜,所以沒必要在這里采用精度很大的這種濾波電路,因?yàn)楫吘巩a(chǎn)生的噪聲不是很大。還有一種方法。由于0.1Hz非常小,濾去不是很容易,所以考慮把這里的直流信號(hào),通過一個(gè)開關(guān)信號(hào),變成交流信號(hào)。在一個(gè)三極管,基極加入方波信號(hào),然后在發(fā)射極輸入信號(hào),在集電極輸出信號(hào),通過改變方波信號(hào)的頻率,等于將直流信號(hào)變成交流信號(hào)。這樣只要把得到的交流信號(hào)的頻率以下的頻率濾去即可。(4)電路的改進(jìn)發(fā)現(xiàn)了一種不用接直流電壓就可以工作的放大器,這樣使電路更加簡(jiǎn)單,改進(jìn)后的如圖6-1 圖6-1溫度傳感總電路圖(改進(jìn)后)(5)仿真模擬模擬圖見下一頁(yè)說明:1、

24、由于熱敏電阻和冷接點(diǎn)補(bǔ)償無法模擬,這里只是運(yùn)算電路的模擬,當(dāng)輸入電壓為100mV時(shí),根據(jù)函數(shù)t=(100U-6100.4)/22.6,乘以23.84后運(yùn)算得4.114V,與顯示值相比較。由于模擬時(shí)滑動(dòng)變阻器只能5%變化,而對(duì)于這個(gè)函數(shù),變阻器分壓取得的電壓值對(duì)結(jié)果影響很大,此時(shí)取得的本應(yīng)為6100.4的值應(yīng)該為9000*65%=5858.所以最后得到的值應(yīng)為t=(100U-5858)/22.6再乘以23.84,最后得到數(shù)值再比較。2、如果U的值從0開始,則出現(xiàn)負(fù)值,所以選擇測(cè)試電壓時(shí)要注意使它的值,使輸出結(jié)果為正。(二)數(shù)字顯示與溫度范圍控制模塊 數(shù)字顯示模塊與溫度范圍控制模塊將溫度傳感器部分

25、傳來的模擬信號(hào)轉(zhuǎn)變?yōu)閿?shù)字信號(hào),并在數(shù)碼管上進(jìn)行顯示。設(shè)置控制溫度時(shí),將“溫度監(jiān)測(cè)控制溫度設(shè)置”開關(guān)撥至“控制溫度設(shè)置檔”,再通過“百位設(shè)定”“十位設(shè)定”“個(gè)位設(shè)定”“ 小數(shù)位設(shè)定”四個(gè)按鈕設(shè)定控制溫度范圍(數(shù)碼管上會(huì)有數(shù)字顯示),并通過“鎖定溫度上限”“鎖定溫度下限”來鎖定溫度限值 ?!瓣P(guān)閉開啟報(bào)警與溫控執(zhí)行系統(tǒng)”開關(guān)控制報(bào)警系統(tǒng)和溫控執(zhí)行系統(tǒng)的關(guān)閉和開啟,打開儀器前,應(yīng)先將其撥至“關(guān)閉”檔,設(shè)置 溫度完畢后,再撥至“開啟”。通過“循環(huán)監(jiān)測(cè)單路監(jiān)測(cè)”開關(guān),可選擇兩種監(jiān)測(cè)模式,數(shù)碼顯示管U98會(huì)實(shí)時(shí)顯示當(dāng)前所監(jiān)測(cè)的溫度線路序號(hào)。通過“監(jiān)測(cè)線路切換頻率調(diào)整”旋鈕可調(diào)節(jié),溫度線路的切換頻率(510s

26、),如需延長(zhǎng),可將電阻R2的換為阻值更大的電阻。(具體電路圖見附件1)(二)聲光報(bào)警 如圖3所示,當(dāng)輸入信號(hào)為低電平時(shí),報(bào)警電路不工作。當(dāng)有高電平信號(hào)輸入時(shí),模擬開關(guān)閉合,多諧震蕩電路開始工作。發(fā)光二級(jí)管閃爍,并發(fā)出蜂鳴報(bào)警。報(bào)警時(shí)蜂鳴的頻率和發(fā)光二極管閃爍的頻率均為2Hz,作用的占空比為58.3%。 圖3 聲光報(bào)警電路圖(三)溫度控制執(zhí)行如圖4所示,溫控執(zhí)行電路由當(dāng)輸入信號(hào)為低電平時(shí),加熱或降溫電路不工作。當(dāng)有高電平信號(hào)輸入時(shí),加熱電路進(jìn)入暫穩(wěn)態(tài),3腳 輸出高電平,繼電器吸合,啟動(dòng)加熱降溫設(shè)備進(jìn)行加熱和降溫操作。110分鐘后(可根據(jù)實(shí)際情況通過滑動(dòng)變阻器R3,R4調(diào)整), 若溫度仍低于或高于

27、設(shè)定溫度,電路不能復(fù)位,3腳仍輸出高電平,加熱或降溫操作繼續(xù)進(jìn)行。若溫度回到設(shè)定范圍內(nèi),電路即復(fù)位 回到穩(wěn)定態(tài),3腳輸出低電平,繼電器斷開,加熱或降溫操作停止。 圖4溫度控制執(zhí)行電路三、總體電路圖限于篇幅和紙張大小,見最后一頁(yè)三、結(jié)束語(yǔ) 本次溫度測(cè)量與控制電路的設(shè)計(jì)主要內(nèi)容如上所述,在此次設(shè)計(jì)中運(yùn)用到的知識(shí)大多數(shù)為課本所學(xué)。設(shè)計(jì)中難免有缺點(diǎn)和漏洞,真誠(chéng)希望老師指導(dǎo),以求改進(jìn)。本次設(shè)計(jì)中有如下幾個(gè)難點(diǎn):一是對(duì)整體測(cè)溫方案的選擇,閱讀大量資料后進(jìn)行比較,最后選擇了線性化很強(qiáng),而且測(cè)溫范圍廣,靈敏度高的熱電偶。二是運(yùn)算方法,最終選擇了利用電偶的電壓與溫度的關(guān)系函數(shù)的反函數(shù)構(gòu)成運(yùn)算電路,這樣等于是溫度

28、通過一次函數(shù)運(yùn)算(熱電偶的測(cè)溫)得到電壓,電壓再經(jīng)一次函數(shù)運(yùn)算(運(yùn)算電路)得到新的電壓值,第一次與第二次的運(yùn)算函數(shù)互為反函數(shù)。這樣最終得到的電壓值和溫度是1:1的關(guān)系,可以說是把溫度的單位由攝氏度“換成”了毫伏。三是冷接點(diǎn)補(bǔ)償,選用了LT1025,能直接輸出所需的溫度補(bǔ)償電壓。四是濾波方法的討論,最后選擇了簡(jiǎn)單的將交流信號(hào)通過一個(gè)電容接地的方法以上即是對(duì)本次設(shè)計(jì)中的主要問題的討論與解決方案,敬請(qǐng)老師給予指正,以求得更好的解決方法。四、參考文獻(xiàn)1.傳感器原理與應(yīng)用 程德福 王君 凌振寶 王言章 編著 機(jī)械工業(yè)出版社 2008年1月第一版2.數(shù)字電路設(shè)計(jì)手冊(cè) 荀殿棟 徐志軍 編著 電子工業(yè)出版社

29、2003年7月第一版3.Multisim8仿真與應(yīng)用實(shí)例開發(fā) 從宏壽 程衛(wèi)群 李紹鉊 編著清華大學(xué)出版社 2007年7月第一版4.電子線路 設(shè)計(jì)與實(shí)踐 姚福安 主編華中電子科技大學(xué)出版社 2001年第一版5.基礎(chǔ)電子電路設(shè)計(jì)與實(shí)踐 戴伏生 主編國(guó)防工業(yè)出版社 2004年4月第一版6.模擬電子技術(shù)基礎(chǔ) 陳大欽 主編機(jī)械工業(yè)出版社 2006年4月第一版7.數(shù)字電子技術(shù) James Bignell Robert Donovan 編著科學(xué)出版社 2005年2月第一版8. 新編電子控制電路300例 機(jī)械工業(yè)出版社9. 數(shù)字單元電路 轉(zhuǎn)換電路 分冊(cè) 梁廷貴主編10傳感器技術(shù)(Journal of Tran

30、sducer Technology) 信息產(chǎn)業(yè)部第49研究所 2005年 第24卷 第11期 11數(shù)字電子技術(shù)基礎(chǔ) 清華大學(xué)出版社12模擬電子技術(shù)基礎(chǔ) 重慶大學(xué)出版社 五、元器件明細(xì)序號(hào)元器件型號(hào)名稱標(biāo)稱值或功能數(shù)量1AD574AAD轉(zhuǎn)換器12CMOS EEPROM(65535x16)137408J與門947432N或門55LM555CM555時(shí)基芯片4674LS160D計(jì)數(shù)器57ADG508F模擬開關(guān)1874LS48D7段顯示譯碼器59SEVEN_SEG_COM_K七段數(shù)碼管5107404N反相器311PB_DPST按鈕式開關(guān)51274LS175D4位鎖存器813SPDT單刀雙擲開關(guān)314R

31、、C常見阻容元件若干15PROBE指示燈416EMR011A035V繼電器217直流電源5V、15V各一個(gè)18K型熱電偶熱電偶119LT1025溫度傳感器120OPAMP_3T_VIRTUAL放大器221ADG202模擬開關(guān)122BZZER蜂鳴器11. AD574A AD574A 是美國(guó)模擬數(shù)字公司(Analog )推出的單片高速12 位逐次比較型A/D 轉(zhuǎn)換器,內(nèi)置雙極性電路構(gòu)成的混合集成轉(zhuǎn)換顯片,具有外接元件少,功耗低,精度高等特點(diǎn),并且具有自動(dòng)校零和自動(dòng)極性轉(zhuǎn)換功能,只需外接少量的阻容件即可構(gòu)成一個(gè)完整的A/D 轉(zhuǎn)換器,其主要功能特性如下: 分辨率:12 位 非線性誤差:小于±

32、1/2LBS 或±1LBS 轉(zhuǎn)換速率:25us 模擬電壓輸入范圍:010V 和020V,0±5V 和0±10V 兩檔四種 電源電壓:±15V 和 5V 數(shù)據(jù)輸出格式:12 位/8 位 芯片工作模式:全速工作模式和單一工作模式 圖6-1AD574A引腳圖AD574A 的引腳說明: 1. Pin1(V Logic)邏輯電源+5V電源輸入端。 2. Pin2(128 )數(shù)據(jù)模式選擇端,通過此引腳可選擇數(shù)據(jù)縱線是12位或8位輸出。 3. Pin3(CS )片選端,低有效。 4. Pin4(A0)字節(jié)地址短周期控制端。與12/8端用來控制啟動(dòng)轉(zhuǎn)換的方式和 數(shù)據(jù)輸出

33、格式。 5. Pin5( R/C)讀轉(zhuǎn)換數(shù)據(jù)控制端。 6. Pin6(CE)使能端,高有效。 7. Pin7(V+)正電源輸入端,輸入+15V電源。 8. Pin8(REF OUT)10V 基準(zhǔn)電源電壓輸出端。 9. Pin9(AGND)模擬地端。 10. Pin10(REF IN)基準(zhǔn)電源電壓輸入端。 11. Pin11(V-)負(fù)電源輸入端,輸入-15V 電源。 12. Pin12(BIP OFF)單極性輸入時(shí)BIP OFF接模擬公共地,雙極性時(shí)BIP OFF接對(duì)應(yīng)的-5V、-10V13. Pin13(10V IN)單極性010 V模擬量輸入;雙極性0 ±5 V模擬量輸入。14.

34、Pin14(20V IN)單極性020 V模擬量輸入;雙極性0 ±10 V模擬量輸入. 。15. Pin15(DGND)數(shù)字地端。 16. Pin16Pin27(DB0DB11)12 條數(shù)據(jù)總線。通過這 12 條數(shù)據(jù)總線向外輸出A/D 轉(zhuǎn)換數(shù)據(jù)。 17. Pin28(STS)工作狀態(tài)指示信號(hào)端,當(dāng) STS=1 時(shí),表示轉(zhuǎn)換器正處于轉(zhuǎn)換狀態(tài),當(dāng) STS=0 時(shí),聲明A/D 轉(zhuǎn)換結(jié)束,通過此信號(hào)可以判別A/D轉(zhuǎn)換器的工作狀態(tài),作為單片機(jī)的中斷或查詢信號(hào)之用。 AD574真值表2. 1M COMS EEPROM(65536X16)A0A15:地址輸入端D0D15:數(shù)據(jù)輸出端 圖6-2管腳圖真值表工作模式/CE/OE/WE讀取數(shù)據(jù)001寫入數(shù)據(jù)010禁止1XX3.74LS160D圖6-374LS160D管腳圖4. ADG508F圖6-4ADG508F管腳圖ADFG508F真值表5.74LS48圖6-574LS48管腳圖74LS48真值表6.74LS175圖6-674LS175D管腳圖74LS1

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論