數(shù)字電路期末試卷_第1頁
數(shù)字電路期末試卷_第2頁
數(shù)字電路期末試卷_第3頁
數(shù)字電路期末試卷_第4頁
數(shù)字電路期末試卷_第5頁
已閱讀5頁,還剩1頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、系名_班級_姓名_學號_密封線內(nèi)不答題2010 2011 學年第1學期課程名稱:數(shù)字電路與邏輯設(shè)計 使用班級:通信、電子、控制本科 1、2(1001001.01 =( 10=( 8421BC D2、有約束條件的觸發(fā)器是_。3、如果F (A,B,C =1345m(,那么F(A,B,C=(_M 。4、二進制譯碼器的輸出端提供了輸入變量的 。5、能將1個數(shù)據(jù)根據(jù)需要傳送到多個端口之一的電路稱為_。6、半導體存儲器按存取方式分為:_和_兩類。7、施密特觸發(fā)器有兩個穩(wěn)定狀態(tài),而每個穩(wěn)定狀態(tài)都是依靠_來維持的。8、15根地址線可尋址 容量的存儲器,2k ×8容量的RAM可通過 根地址線進行尋址訪

2、問。 9、T 觸發(fā)器中,當T=1時實現(xiàn) _功能。10、VHDL 語言中,端口的模式主要由IN 、OUT 、 、 組成。 二、選擇題(共10分,每題2分1、標準或與式是由( 構(gòu)成的邏輯表達式。 A.與項相或 B. 最大項相與C.最小項相或D. 或項相與2、以下VHDL 語句中,屬于順序語句的是( 。A .IF 語句B .進程語句C .條件信號賦值語句D .元件例化語句 3、要使JK 觸發(fā)器在時鐘作用下的次態(tài)與現(xiàn)態(tài)相反,JK 取值應(yīng)為( A . JK =00 B. JK =01 C . JK =10 D. JK =114、觸發(fā)器的異步輸入端的作用是( A.與CP 無關(guān),與同步輸入有關(guān)B.與CP 有

3、關(guān),與同步輸入有關(guān)C.與CP 無關(guān),與同步輸入無關(guān)D.與CP 有關(guān),與同步輸入無關(guān) 5、下列電路中能把串行數(shù)據(jù)變?yōu)椴⑿袛?shù)據(jù)的電路是 。A 、JK 觸發(fā)器B 、移位寄存器C 、3-8譯碼器D 、10進制計數(shù)器三、邏輯函數(shù)化簡:(14分,前兩題每題5分,后兩題每題2分 1、用公式法化簡:D BD Y A AB DCE =+2、用卡諾圖化簡14691303571115F m(,d (,=+3、寫出Y (A ,B ,C ,D AB (C D C D =+的反函數(shù)4、求出B D Y B C A B D C =+的對偶式F '四、組合邏輯電路分析與設(shè)計(共20分1、試分析下圖的邏輯功能(5分系名_

4、班級_姓名_學號_密封線內(nèi)不答題 2、試用8選1數(shù)據(jù)選擇器實現(xiàn)邏輯函數(shù)F (A,B,C ,D =123568912m(,(15分五. 畫出下列各觸發(fā)器Q 端的波形:(共10分,每題5分1、維持阻塞D 觸發(fā)器輸入波形如下圖所示,試畫出Q 端的輸出波形,設(shè)其初始狀態(tài)為0。(5分 CPSDAQ2、已知 JK觸發(fā)器輸入信號J和K 、時鐘脈沖CP的波形如下圖所示,試畫出觸發(fā)器輸出端Q的波形,設(shè)初始狀態(tài)為0。(5分(左圖有問題,sd和rd是為1嗎?QCPJKJ六、時序邏輯電路分析與設(shè)計(20分1.分析下圖所示電路。(10分(圖中與門輸出端的圓圈?要求: 1、寫出驅(qū)動方程、狀態(tài)方程、輸出方程;2、列出狀態(tài)轉(zhuǎn)

5、移表,畫出完整狀態(tài)轉(zhuǎn)移圖;3、說明電路的邏輯功能及啟動特性。系名_班級_姓名_學號_密封線內(nèi)不答題Y 2.試用74LS161異步清零功能設(shè)計一個模12的計數(shù)器, 要求寫出S N 的二進制代碼,反饋清零函數(shù)和畫出邏輯電路圖、狀態(tài)圖。(10分11 七、閱讀下面一段 VHDL 程序,并根據(jù)程序回答以下問題: 分) (6 library ieee; use ieee.std_logic_1164.all; entity syndcfq is port(d,clk,reset:in std_logic; q:out std_logic; end entity syndcfq; architecture art of syndcfq is begin process(clk is begin if(clk'event and clk=1 then if(reset=0 then q<=0; else q<=d; end if; end if; end proc

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論