基于FPGA的LCD設(shè)計(jì)_第1頁(yè)
基于FPGA的LCD設(shè)計(jì)_第2頁(yè)
基于FPGA的LCD設(shè)計(jì)_第3頁(yè)
基于FPGA的LCD設(shè)計(jì)_第4頁(yè)
基于FPGA的LCD設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩59頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、本 科 畢 業(yè) 設(shè) 計(jì)( 2009 屆)題目基于FPGA的LCD控制器設(shè)計(jì)學(xué)院專業(yè)班級(jí)學(xué)號(hào)學(xué)生姓名指導(dǎo)教師完成日期年月日63 / 64文檔可自由編輯打印基于FPGA的LCD控制器設(shè)計(jì)【摘要】本課題主要任務(wù)是設(shè)計(jì)基于FPGA的LCD控制器,兼顧好程序的易用性,以方便之后模塊的移植和應(yīng)用。本課題的設(shè)計(jì)采用了帶ST7920驅(qū)動(dòng)的12864-12液晶模塊,并使用Altera公司的cycloneII系列的EP2C5T144C8來(lái)作為核心的控制器??刂破鞑糠植捎肰HDL語(yǔ)言編寫(xiě),主體程序采用了狀態(tài)機(jī)作為主要控制方式。該控制器分為字符顯示模塊和圖片顯示模塊兩個(gè)主要部分。在字符的顯示功能上采用顯示控制模塊與字

2、符調(diào)用RAM相結(jié)合的方式,使使用者能方便地調(diào)用液晶自帶的字庫(kù)來(lái)顯示字符;而圖片顯示模塊則通過(guò)特殊的處理算法解決了圖像顯示緩沖區(qū)X地址不斷移位以及上下半屏分開(kāi)的問(wèn)題,通過(guò)讀取圖片ROM來(lái)顯示圖片。最后實(shí)現(xiàn)使用FPGA在LCD上的任意位置顯示任意的16*16像素的中文字符以及16*8的英文字符,另外要能根據(jù)輸入數(shù)據(jù)的變化同步變化LCD上顯示的內(nèi)容。同時(shí)要能將儲(chǔ)存模塊中的圖片數(shù)據(jù)正常地顯示在LCD上。該課題的研究將有助于采用FPGA的系列產(chǎn)品的開(kāi)發(fā),特別是需要用到LCD的產(chǎn)品的開(kāi)發(fā)。同時(shí)可以大大縮短FPGA的開(kāi)發(fā)時(shí)間。另外,由于模塊的易用性,也將使得更多的采用FPGA的產(chǎn)品之上出現(xiàn)LCD,增加人機(jī)之

3、間的交互性,為行業(yè)和我們的生活帶來(lái)新的變化?!娟P(guān)鍵詞】12864-12,VHDL,LCD,F(xiàn)PGA,狀態(tài)機(jī)Design of the LCD Control Module Based on FPGA【Abstract】In this project, the main object is to design a LCD controller based on FPGA, and at the same time emphasize on the convenience for the later application and migration. In this project, I use

4、d 12864-12 LCD module with the ST7920 driver. For the controller part, I chose the Cyclone II serial FPGA, the EP2C5T144C8, which developed by the Altera Cooperation. The program of the controller is written by VHDL language, and the main body of the program used state machine as the primary control

5、 method. In this LCD controller, there are two major parts, which are the character display module and the picture display module. The character display module put the Character Calling Ram and the display control module together to enable the user to call the embedded character library easily to di

6、splay the information he/she needed. For the picture display module, the module, through specific algorithm, solved the problems that the x address of the picture display buffer kept stepping forward after every 16 times and the display screen are divided into 2 section by the buffer. And this modul

7、e, by reading a picture ram, displayed picture which was put earlier. In this project, I finally realized the following function. The first one is to display any 16*16 pixels Chinese character and 16*8 pixels English character on any position of the display screen. The second one is the display info

8、rmation will instantaneously update as the input data changes. The last function is that any given picture data can be displayed properly on the LCD screen.The research of this project will contribute to the developing process of those products which use FPGAs, especially those products also use LCD

9、. And at the same time, it can reduce dramatically on the developing time. In addition, for the convenience of this controller, more and more FPGA based products will come out with LCD screen. This change will enhance the interaction between human and the machine, and bring innovation to the industr

10、y and our lives. 【Key Words】12864-12,VHDL,LCD,F(xiàn)PGA,State Machine. 目錄第1章 緒論51.1 選題的背景與意義51.2 LCD的控制,應(yīng)用和市場(chǎng)的發(fā)展現(xiàn)狀51.3 課題的主要研究?jī)?nèi)容和重點(diǎn)、難點(diǎn)71.3.1 本課題主要研究?jī)?nèi)容和重點(diǎn)71.3.2 本課題的主要難點(diǎn):71.4 課題研究預(yù)期目標(biāo)71.4.1 課題研究預(yù)期理論目標(biāo)71.4.2 課題研究預(yù)期技術(shù)目標(biāo)8第2章 現(xiàn)代LCD技術(shù)92.1 現(xiàn)代LCD技術(shù)簡(jiǎn)介92.2 STN-LCD技術(shù)的顯示原理102.3 動(dòng)態(tài)STN-LCD驅(qū)動(dòng)方法11第3章 現(xiàn)代FPGA技術(shù)143.1 FPGA的

11、發(fā)展歷程143.2 FPGA的基本原理153.2.1 查找表的基本原理153.2.2 基于乘積項(xiàng)的FPGA的邏輯實(shí)現(xiàn)原理153.3 FPGA的設(shè)計(jì)方法163.4 VHDL硬件描述語(yǔ)言163.5 Quartus II簡(jiǎn)介173.6 核心控制芯片選擇183.7 FPGA的設(shè)計(jì)流程19第4章 總體系統(tǒng)設(shè)計(jì)及資源224.1 系統(tǒng)設(shè)計(jì)要求224.2 系統(tǒng)設(shè)計(jì)總體框圖224.3 系統(tǒng)開(kāi)發(fā)選用資源224.3.1 液晶模塊選用224.3.2 FPGA的選擇284.4 硬件設(shè)計(jì)30第5章 系統(tǒng)各部分模塊的設(shè)計(jì)315.1 初始化模塊設(shè)計(jì)315.1.1 LCD模塊初始化原理315.1.2 時(shí)鐘模塊的設(shè)計(jì)325.1.

12、3 字符顯示前初始化模塊的設(shè)計(jì)335.1.4 圖片顯示前初始化模塊的設(shè)計(jì)365.2 寫(xiě)入數(shù)據(jù)模塊的設(shè)計(jì)385.2.1 英文字符部分的數(shù)據(jù)模塊385.2.2 中文字符部分的數(shù)據(jù)模塊405.2.3 圖片部分的數(shù)據(jù)模塊415.3 顯示控制模塊的設(shè)計(jì)415.3.1 字符顯示控制模塊的設(shè)計(jì)415.3.2 動(dòng)態(tài)數(shù)據(jù)的顯示控制435.3.3 圖像數(shù)據(jù)的顯示控制445.4 字符顯示及圖片顯示整體模塊495.5 本章小結(jié)50第6章 模塊測(cè)試與使用方法516.1 模塊的使用方法516.1.1 字符顯示模塊的使用516.1.2 圖片顯示模塊的使用526.2 測(cè)試內(nèi)容536.2.1 字符顯示部分的測(cè)試536.2.2圖

13、片顯示部分的測(cè)試546.3 測(cè)試結(jié)果576.3.1 字符顯示576.3.2 圖片顯示586.4小結(jié)60結(jié)束語(yǔ)61參考文獻(xiàn)62致謝63第1章 緒論1.1 選題的背景與意義液晶,是一種在一定溫度范圍內(nèi)呈現(xiàn)既不同于固態(tài)、液態(tài),又不同于氣態(tài)的特殊物質(zhì)態(tài),它既具有各向異性的晶體所特有的雙折射性,又具有液體的流動(dòng)性。一般可分熱致液晶和溶致液晶兩類。在顯示應(yīng)用領(lǐng)域,使用的是熱致液晶,超出一定溫度范圍,熱致液晶就不再呈現(xiàn)液晶態(tài),溫度低了,出現(xiàn)結(jié)晶現(xiàn)象,溫度升高了,就變成液體;液晶顯示器件所標(biāo)注的存儲(chǔ)溫度指的就是呈現(xiàn)液晶態(tài)的溫度范圍。液晶由于它的各向異性而具有的電光效應(yīng),尤其扭曲向列效應(yīng)和超扭曲效應(yīng),所以能制成

14、不同類型的顯示器件(Liquid Crystal Display 簡(jiǎn)稱LCD)。在平板顯示器件領(lǐng)域,目前應(yīng)用較廣泛的有液晶(LCD)、電致發(fā)光顯示(EL)、等離子體(PDP)、發(fā)光二極管(LED)、低壓熒光顯示器件(VFD)等。 液晶顯示器件有以下一些特點(diǎn) 低壓微功耗,平板型結(jié)構(gòu),被動(dòng)顯示型(無(wú)眩光,不刺激人眼,不會(huì)引起眼睛疲勞),顯示信息量大(因?yàn)橄袼乜梢宰龅煤苄。子诓噬ㄔ谏V上可以非常準(zhǔn)確的復(fù)現(xiàn)),無(wú)電磁輻射(對(duì)人體安全,利于信息保密),長(zhǎng)壽命(這種器件幾乎沒(méi)有什么劣化問(wèn)題,因此壽命極長(zhǎng),但是液晶背光壽命有限,不過(guò)背光部分可以更換)。之前,一般流行采用單片機(jī)來(lái)控制驅(qū)動(dòng)LCD。采用單

15、片機(jī)控制LCD的顯示在設(shè)計(jì)上相對(duì)比較簡(jiǎn)單??梢酝ㄟ^(guò)KEIL等軟件的編寫(xiě)方便地控制LCD的圖形以及字符的現(xiàn)實(shí)。但是由于單片機(jī)的順序執(zhí)行結(jié)構(gòu)。決定了在現(xiàn)實(shí)圖片或者字符的同時(shí),單片機(jī)本身無(wú)法處理其他數(shù)據(jù)或者執(zhí)行其他的運(yùn)算命令。這在某種程度上大大地降低了工作的效率。而采用FPGA來(lái)控制LCD則不存在這個(gè)問(wèn)題。但是由于FPGA不像單片機(jī),可以直接使用控制語(yǔ)句來(lái)方便地控制LCD。因此需要編寫(xiě)大量的代碼來(lái)控制LCD。因?yàn)檫@個(gè)原因,采用FPGA的設(shè)計(jì)一般都會(huì)再一次通過(guò)單片機(jī)來(lái)驅(qū)動(dòng)LCD的顯示。本課題主要任務(wù)是設(shè)計(jì)基于FPGA的LCD控制器,兼顧好程序的易用性,以方便之后模塊的移植和應(yīng)用。最后在FPGA上的任意

16、位置顯示任意的16*16D的中文字符以及16*8的英文字符,另外要能根據(jù)輸入數(shù)據(jù)的變化同步變化LCD上顯示的內(nèi)容。同時(shí)要能將儲(chǔ)存模塊中的圖片數(shù)據(jù)正常地顯示在LCD上。該課題的研究將有助于采用FPGA的系列產(chǎn)品的開(kāi)發(fā),特別是需要用到LCD得采用FPGA的產(chǎn)品的開(kāi)發(fā)。同時(shí)可以大大縮短FPGA的開(kāi)發(fā)時(shí)間。另外,由于模塊的易用性,也將使得更多的采用FPGA的產(chǎn)品之上出現(xiàn)LCD,增加人機(jī)之間的交互性,為行業(yè)和我們的生活帶來(lái)新的變化。1.2 LCD的控制,應(yīng)用和市場(chǎng)的發(fā)展現(xiàn)狀在技術(shù)方面,因集成式的控制芯片具有包括了縮小了IC的體積、低功率消耗、降低封裝的成本、節(jié)省電路板的數(shù)量及體積等優(yōu)點(diǎn),并使材料成本及L

17、CD后段組裝成本得以降低,因此許多廠商紛紛朝向高集成度控制芯片發(fā)展,并積極開(kāi)發(fā)視訊應(yīng)用的控制芯片。而最新趨勢(shì)Smart Panel,在制程上則有簡(jiǎn)化流程、減少材料成本等優(yōu)點(diǎn)。根據(jù)相關(guān)資料顯示,Smart Panel可降低約10%15%的成本,這也是國(guó)外一些大廠所鐘愛(ài)的方式。 為降低控制IC 成本,眾多IC廠商紛紛推出集成式的單芯片控制IC。美國(guó)的Genesis最早推出集成式IC,將ADC、Scaler、OSD (內(nèi)置菜單)與PLL(鎖相環(huán))為一顆單芯片控制IC。接著更進(jìn)一步集成入DVI 組件,形成LCD 雙??刂艻C。其組件集成數(shù)量持續(xù)增多,并漸漸添加Video 的功能。當(dāng)前Genesis 最

18、高集成度的產(chǎn)品,集成入的組件已經(jīng)包括ADC、Scaler、PLL、OSD、TCON 與DVI,僅剩Video 的功能以及SDRAM 的組件尚未集成。 隨著市場(chǎng)競(jìng)爭(zhēng)的加劇,液晶顯示器廠商的成本壓力越來(lái)越大,必須采用更簡(jiǎn)單的線路設(shè)計(jì)實(shí)現(xiàn)液晶顯示器的功能,以期降低成本,才能在市場(chǎng)競(jìng)爭(zhēng)中立于不敗之地。 LCD控制IC必將向高集成度方向發(fā)展,以滿足市場(chǎng)需要。而在LCD的應(yīng)用以及市場(chǎng)方面,雖然手機(jī)仍然是中小尺寸液晶顯示屏(LCD)的最主要應(yīng)用設(shè)備,但便攜導(dǎo)航設(shè)備(PND)、數(shù)碼相框和MP3/便攜媒體播放器(PMP)等新型設(shè)備,正在該市場(chǎng)的銷售額中占有越來(lái)越大的份額。由于這些產(chǎn)品所使用的顯示屏大于手機(jī)所用的

19、顯示屏,因此在供應(yīng)商的工廠中同樣需要更多的面板,這對(duì)于LCD面板生產(chǎn)商來(lái)說(shuō)是個(gè)絕好的機(jī)會(huì)。各種中小尺寸LCD的產(chǎn)能擴(kuò)張和價(jià)格下降,促進(jìn)了其應(yīng)用領(lǐng)域的多元化。這又進(jìn)一步刺激了需求,并吸引許多其它產(chǎn)品來(lái)采用中小型LCD,如白色家電和零售標(biāo)牌。大多數(shù)行業(yè)內(nèi)的公司認(rèn)為,為了利用手機(jī)市場(chǎng)和新興產(chǎn)品,中小尺寸顯示屏供應(yīng)商必須相應(yīng)地平衡和調(diào)整策略,否則就可能錯(cuò)失整個(gè)市場(chǎng)。導(dǎo)航設(shè)備PND的主要功能是顯示GPS信息,因此能否顯示詳細(xì)并準(zhǔn)確地圖影像非常關(guān)鍵。這使得許多PND制造商把目光轉(zhuǎn)向了更加精確的小型LCD。這方面出現(xiàn)的需求促使iSuppli公司把2011年P(guān)ND顯示屏市場(chǎng)的出貨量預(yù)測(cè)提高到了6,050萬(wàn)部。

20、2006年的出貨量為1,080萬(wàn)部,2006-2011年出貨量的年復(fù)合增長(zhǎng)率是41.3%。iSuppli以前預(yù)測(cè)2011年出貨量是5,400萬(wàn)部。盡管中小尺寸LCD價(jià)格下降,但2011年P(guān)ND顯示屏的營(yíng)業(yè)額將從2006年的3.24億美元上升到7.76億美元,年復(fù)合增長(zhǎng)率為19.1%。2007年一年,PND顯示屏銷售額將比2006年的3.24億美元增長(zhǎng)近一倍,達(dá)到6.35億美元。媒體播放器但PND不是推動(dòng)中小尺寸顯示屏市場(chǎng)繁榮的唯一消費(fèi)電子產(chǎn)品。MP3/PMP目前是使此類顯示屏出貨量增長(zhǎng)最快的領(lǐng)域之一。 iSuppli公司預(yù)測(cè),2011年底MP3/PMP單位出貨量將達(dá)到2.05億,而2007年預(yù)

21、計(jì)為1.63億。這相當(dāng)于2011年顯示屏銷售額將達(dá)到16億美元,略低于2007年的17億美元,這主要是因?yàn)橹行⌒蚅CD價(jià)格隨著產(chǎn)能擴(kuò)張和制造工藝改進(jìn)而不斷下降。推動(dòng)顯示屏單位出貨量增長(zhǎng)的因素包括:消費(fèi)電子公司蘋(píng)果和它的iPod產(chǎn)品線,以及距蘋(píng)果最近的競(jìng)爭(zhēng)對(duì)手緊追不舍,從而推動(dòng)MP3/PMP市場(chǎng)整體增長(zhǎng)。MP3音樂(lè)播放器變身進(jìn)入了PMP領(lǐng)域。有源矩陣LCD供應(yīng)商正在緊盯這個(gè)市場(chǎng),以防止AMOLED供應(yīng)商染指。因?yàn)镻MP是消費(fèi)電子產(chǎn)業(yè)中增長(zhǎng)最快的領(lǐng)域之一,而且隨著更多的產(chǎn)品涌現(xiàn),將需要更多的LCD來(lái)滿足需求。數(shù)碼相框和便攜DVD播放器等其它應(yīng)用每年需要的顯示屏越來(lái)越多。這些應(yīng)用需要較大的顯示屏(約

22、7.0英寸),因此它們的需求增長(zhǎng)可能對(duì)產(chǎn)能分配和供需平衡造成較大的影響。1.3 課題的主要研究?jī)?nèi)容和重點(diǎn)、難點(diǎn)1.3.1 本課題主要研究?jī)?nèi)容和重點(diǎn)本課題的主要內(nèi)容是基于FPGA的LCD控制器的設(shè)計(jì)研究,并兼顧程序的易用性以方便以后模塊的移植。該課題設(shè)計(jì)到FPGA得應(yīng)用,LCD驅(qū)動(dòng)的研究,字符以及圖像顯示模式的研究等知識(shí)。并利用QUARTUS II 實(shí)現(xiàn)相關(guān)模塊的設(shè)計(jì),在FPGA上實(shí)現(xiàn)對(duì)LCD的控制,顯示任意中文,英文和圖像。1.3.2 本課題的主要難點(diǎn):1. 12864-12的液晶模塊指令集較為復(fù)雜,采用FPGA設(shè)計(jì)需要定義的變量和狀態(tài)將會(huì)很多;2. 中英文字符的顯示部分程序要考慮到程序的易用

23、性,方便將來(lái)移植后的使用;3. 圖形顯示部分,由于12864-12內(nèi)部圖形顯示GDRAM的地址尋址方式的獨(dú)特性,并不是始終從0到15循環(huán),而是隨著行數(shù)的增加會(huì)做一個(gè)移位。同時(shí)LCD屏幕上的點(diǎn)陣也被拆分為上下兩個(gè)半屏,分別對(duì)應(yīng)Y地址的0-8和9-15這導(dǎo)致了取模后的圖形所對(duì)應(yīng)的數(shù)組,如若按普通方法則不能正常顯示。1.4 課題研究預(yù)期目標(biāo) 本課題研究預(yù)期目標(biāo)主要包括采用FPGA控制LCD在任意位置顯示任意中文以及英文字符,和在LCD上顯示儲(chǔ)存模塊中的圖像數(shù)據(jù)。1.4.1 課題研究預(yù)期理論目標(biāo)1. 掌握FPGA對(duì)LCD的控制方法,為課題研究做好理論準(zhǔn)備;2. 通過(guò)FPGA對(duì)LCD的控制,使得任何開(kāi)發(fā)

24、者都可以較為容易地通過(guò)此顯示控制模塊,在液晶上顯示所需的內(nèi)容。;3.算法實(shí)現(xiàn):對(duì)于12864-12的特殊圖形RAM對(duì)應(yīng)LCD的顯示方式,采用特定算法,使得取模后的圖像所對(duì)應(yīng)的數(shù)組,無(wú)需經(jīng)過(guò)處理便可以通過(guò)FPGA ( Gate Array)在LCD上顯示。1.4.2 課題研究預(yù)期技術(shù)目標(biāo)1. 中文字符在LCD上的正常顯示;2. 英文字符在LCD上的正常顯示;3. 輸入變動(dòng)的數(shù)據(jù)能在LCD上的同步刷新顯示;4. 圖像數(shù)據(jù)在LCD上的正常顯示;第2章 現(xiàn)代LCD技術(shù)2.1 現(xiàn)代LCD技術(shù)簡(jiǎn)介在七十年代初液晶開(kāi)始作為一種顯示媒體使用以來(lái),液晶的應(yīng)用范圍被逐漸拓寬,到目前已涉及游戲機(jī),手機(jī)/電話機(jī),電視

25、,筆記本電腦/掌上電腦,DC/DV以及液晶顯示器等領(lǐng)域。在1984年,歐美提出了STN-LCD,而同時(shí)TFT-LCD技術(shù)也被提出,但仍不成熟,在80年代末,日本掌握了STN-LCD的生產(chǎn)技術(shù),在93年,日本又掌握了TFT-LCD生產(chǎn)技術(shù),液晶顯示器開(kāi)始向廉價(jià)低成本的方向發(fā)展,隨后DSTN-LCD誕生;另一方面向高端的薄膜式晶體管TFT-LCD發(fā)展,97年,日本建成了一大批大基板尺寸的第三代TFT-LCD生產(chǎn)線。在此期間,韓國(guó)和我國(guó)臺(tái)灣開(kāi)始介入液晶顯示器生產(chǎn)領(lǐng)域,我國(guó)內(nèi)地企業(yè)也引進(jìn)生產(chǎn)線,生產(chǎn)TN-LCD,東亞地區(qū)逐漸發(fā)展成為世界液晶顯示器的主要生產(chǎn)地,第三代半及第四代TFT-LCD生產(chǎn)線開(kāi)始建

26、立,日本,韓國(guó)和中國(guó)(含臺(tái)灣省)在液晶顯示器生產(chǎn)及技術(shù)上開(kāi)始走在世界最前列。 大家知道,液晶是一種具有規(guī)則性分子排列的有機(jī)化合物,它即不是固體也不是液體,它是介于固態(tài)和液態(tài)之間的物質(zhì),把它加熱時(shí)它會(huì)呈現(xiàn)透明的液體狀態(tài),把它冷卻時(shí)它則會(huì)出現(xiàn)結(jié)晶顆粒的混濁固體狀態(tài)。液晶按照分子結(jié)構(gòu)排列的不同分為三種:粘土狀的Smectic液晶,細(xì)柱形的Nematic液晶和軟膠膽固醇狀的Cholestic液晶。這三種液晶的物理特性各不相同,而第二類的細(xì)柱形的Nematic液晶最適于用來(lái)制造液晶顯示器。按物理結(jié)構(gòu)常見(jiàn)的液晶顯示器可分為以下幾種:表2.1 常見(jiàn)液晶顯示器大家從上面就可看出TN、STN、DSTN三種液晶都

27、屬于無(wú)源矩陣LCD,它們的原理基本相同,不同之處只是各個(gè)液晶分子的扭曲角度略有差異而已,其中DSTN(俗稱“偽彩”)在早期的筆記本電腦顯示器及掌上游戲機(jī)上廣為應(yīng)用,但由于其必須借用外界光源來(lái)顯像所以其有很大的應(yīng)用局限性,但這些早期的反射型單色或彩色沒(méi)有背光設(shè)計(jì)的LCD可以做得更薄、更輕和更省電,如果能在技術(shù)上對(duì)其進(jìn)行革新這些東東對(duì)于掌上型電腦和游戲機(jī)來(lái)說(shuō)還是非常有用的。而STN超扭曲向列型無(wú)源矩陣LCD則是我們今天小型液晶顯示器上應(yīng)用的主流,它具有屏幕反應(yīng)速度快,對(duì)比度好,亮度高,可視角度大等優(yōu)點(diǎn)。圖2.1 液晶原理圖最早的液晶顯示器TN它由玻璃板,偏光器,ITO膜,配向膜組成兩個(gè)夾層等組成,

28、它是所有液晶顯示器技術(shù)原理的鼻祖。而TFT液晶顯示器同TN系列液晶顯示器一樣由玻璃基板、ITO膜、配向膜、偏光板等部分組成,它也同樣采用兩夾層間填充液晶分子的設(shè)計(jì),只不過(guò)把TN上部夾層的電極改為FET晶體管,而下層改為共同電極。2.2 STN-LCD技術(shù)的顯示原理傳統(tǒng)的TNI CD(扭曲向列液晶顯示器件)具有電光響應(yīng)速度緩慢,閾值特性很不明顯的弱點(diǎn),這給多路驅(qū)動(dòng)造成了困難,使其在大信息量的視頻顯示上受到了限制。通過(guò)將TNLCD液晶分子的扭曲角度由90。加大到180。至360。之問(wèn)就可以制成STNI CD(超扭曲向列液晶顯示器件)。STN I CD 大大提高了顯示特性,目前幾乎所有的點(diǎn)陣圖形和大

29、部分點(diǎn)陣字符LCD均已采用了STN 模式,STNI CD技術(shù)在液晶產(chǎn)業(yè)中已處于逐漸成熟和完善的階段。將涂有透明導(dǎo)電層的玻璃上光刻形成特定的透明電極,在兩片這種玻璃授板問(wèn)夾上一層STNI CD 材料,四周密封,形成一個(gè)厚度僅為微米量級(jí)的扁平液晶盒。由于玻璃內(nèi)表面涂有定向?qū)幽げ⑦M(jìn)行了定向處理,盒內(nèi)液晶分子沿玻璃表面平行排列,如果兩片玻璃內(nèi)表面定向?qū)犹幚淼姆较虺室欢ǖ膴A角,則液晶分子在這兩片玻璃之間以角度扭曲由于STN-LCD 液晶分子在盒中的扭曲螺旋距比可見(jiàn)光波長(zhǎng)大得多,所以當(dāng)垂直于玻璃表面一側(cè)的直線偏振光入射后,其偏光方向在通過(guò)整個(gè)赦晶層后會(huì)被扭曲角度另一側(cè)射出,因此此液晶盒具有在成角度偏振片間

30、透光的作用和功能。如果在液晶盒上施加一個(gè)電壓并達(dá)到一定值后,液晶分子長(zhǎng)軸將開(kāi)始沿電場(chǎng)方向傾斜,當(dāng)電壓達(dá)到2倍閾值電壓后,除電極表面的分子外,所有的赦晶盒內(nèi)兩電極之間的液晶分子都變成沿電場(chǎng)方向的再排列,這時(shí)角度旋光功能消失,在成角度的偏光片之間失去了旋光作用使器件不能再透光。因此,將STN LCD放在成角度的偏振片之間就可以用給液晶盒通電的辦法使光改變其透過(guò)和遮住狀態(tài)從而實(shí)現(xiàn)顯示的功能。液晶屏幕的驅(qū)動(dòng)方式 :?jiǎn)渭兙仃囼?qū)動(dòng)方式是由垂直與水平方向的電極所構(gòu)成,選擇要驅(qū)動(dòng)的部份由水平方 向電壓來(lái)控制,垂直方向的電極則負(fù)責(zé)驅(qū)動(dòng)液晶分子。 在TN與STN型的液晶顯示器中,所使用單純驅(qū)動(dòng)電極的方式,都是采用

31、X、Y軸的交叉方式來(lái)驅(qū)動(dòng),如下圖所示,因此如果顯示部份越做越大的話,那么中心部份的電極反應(yīng)時(shí)間可能就會(huì)比較久。而為了讓屏幕顯示一致,整體速度上就會(huì)變慢。講的簡(jiǎn)單一點(diǎn),就好象是CRT顯示器的屏幕更新頻率不夠快,那是使用者就會(huì)感到屏幕閃爍、跳動(dòng);或著是當(dāng)需要快速3D動(dòng)畫(huà)顯示時(shí),但顯示器的顯示速度卻無(wú)法跟上,顯示出來(lái)的要果可能就會(huì)有延遲的現(xiàn)象。2.3 動(dòng)態(tài)STN-LCD驅(qū)動(dòng)方法STNLCD 的顯示效果是由于在顯示像素上施加了電場(chǎng)的緣故,而這個(gè)電場(chǎng)是由顯示像素前后兩個(gè)電極上的電壓信號(hào)差所產(chǎn)生的。在顯示像素上建立直流電場(chǎng)并不困難,但直流電場(chǎng)將導(dǎo)致液晶材料的化學(xué)反應(yīng)和電極老化。從而迅速降低液晶材料的壽命,

32、因此必須建立交流電場(chǎng),并要求這個(gè)電場(chǎng)中的直流分量盡可能小,通常要求小于50 mV。因此STNLCD必須采用交流驅(qū)動(dòng)。STNLCD顯示驅(qū)動(dòng)方法有很多種,常用的有靜態(tài)驅(qū)動(dòng)法和動(dòng)態(tài)驅(qū)動(dòng)法。當(dāng)STNLCD顯示像素眾多時(shí),若使用靜態(tài)驅(qū)動(dòng)法將會(huì)產(chǎn)生眾多的引腳以及龐大的驅(qū)動(dòng)電路,實(shí)現(xiàn)起來(lái)有困難,因此常用動(dòng)態(tài)驅(qū)動(dòng)法。圖2.3 液晶驅(qū)動(dòng)示意圖動(dòng)態(tài)驅(qū)動(dòng)法中STN LCD 電極的制作和排布為矩陣型結(jié)構(gòu),即把水平一組顯示像素的電極連接在一起引出 稱之為行電極,用COM 符號(hào)表示,把縱向一組顯示像素的電極連在一起引出,稱之為列電極,用符號(hào)SEG 表示。每個(gè)STN-LCD顯示像素都由其所有行和列的位置唯一確定。上圖為N

33、行、M 列STN-LCD電極連接示意圖,顯示圖形為字符“R”。點(diǎn)陣型STN-L CD 和字符型STN-LCD 的電極連接略有不同,但它們均可以由上圖來(lái)表示。動(dòng)態(tài)驅(qū)動(dòng)法就是采用逐行、循環(huán)地給行電極施加選擇脈沖,同時(shí)所有的列電極給出該行像素對(duì)應(yīng)的選擇或非選擇脈沖從而實(shí)現(xiàn)一行所有顯示像素的驅(qū)動(dòng),循環(huán)一次稱為一幀。這種掃描是逐行順序進(jìn)行的,循環(huán)周期很短,使得STN-LCD顯示屏上呈現(xiàn)穩(wěn)定的圖象效果。一幀中每一行的選擇時(shí)間是相等的,若一幀的掃描行數(shù)是N,則一行所占用的掃描時(shí)間為一幀的1N,該值稱為占空比系數(shù)。在特定電壓下,掃描行數(shù)的增加將使占空比下降,從而引起液晶像素上交變電場(chǎng)有效值的下降,降低了顯示質(zhì)

34、量,因此隨著顯示像素的增多就需要適度地提高電場(chǎng)電壓的有效值來(lái)保證顯示質(zhì)量。動(dòng)態(tài)驅(qū)動(dòng)方式下,某一液晶像素呈顯示效果是由施加在行電極上的選擇電壓與施加在列電極上的選擇電壓的臺(tái)成來(lái)實(shí)現(xiàn)的。與該像素不在同一行及同一列上的像素都處于非選擇狀態(tài)下,而與該像素在同一行或同一列的像素均有選擇電壓加入,稱為半選擇點(diǎn)。當(dāng)半選擇點(diǎn)的電壓接近液晶的闊值電壓時(shí)屏上將出現(xiàn)不應(yīng)該有的半顯示現(xiàn)象,這會(huì)使得對(duì)比度下降,這種現(xiàn)象叫做“交叉效應(yīng)”,在動(dòng)態(tài)驅(qū)動(dòng)法中可采用偏壓技術(shù)來(lái)解決這一問(wèn)題。平均電壓法是解決“交叉效應(yīng)”的有效辦法,其原理是把半選擇點(diǎn)和非選擇點(diǎn)上的電壓平均化。若顯示點(diǎn)電壓為VLCD,則半選擇點(diǎn)和非選擇點(diǎn)電壓為VLCD

35、/,其中為整數(shù)稱為偏壓比。平均電壓法適度提高非選擇點(diǎn)上的電壓來(lái)抵消半選擇點(diǎn)上的電壓,從而擴(kuò)大選擇點(diǎn)和半選擇點(diǎn)的電壓之間的差距,提高顯示對(duì)比度,又使非選擇和半選擇點(diǎn)的顯示更均勻一致。對(duì)比度是衡量液晶顯示質(zhì)量的重要標(biāo)志。只要驅(qū)動(dòng)電壓的有效值足夠大液晶就可以實(shí)現(xiàn)顯示,且選通時(shí)的透過(guò)率與有效值成正比,而對(duì)比度是透過(guò)率之比,所以只要確定了選通電壓有效值與非選通電壓有效值之比就能預(yù)測(cè)出顯示對(duì)比度的好壞。第3章 現(xiàn)代FPGA技術(shù)3.1 FPGA的發(fā)展歷程作為一種可編程邏輯器件,現(xiàn)場(chǎng)可編程門陣列的出現(xiàn)是可編程邏輯器件發(fā)展變化的必然,它的出現(xiàn)推動(dòng)著可編程邏輯器件的進(jìn)一步發(fā)展。因此說(shuō),了解了可編程邏輯器件的的發(fā)展

36、歷程,也就了解了FPGA的發(fā)展歷程??删幊踢壿嬈骷?FPGA)是20世紀(jì)70年代發(fā)展起來(lái)的一種新型期間。它的應(yīng)用不僅簡(jiǎn)化了電路設(shè)計(jì),降低了成本,提高了系統(tǒng)的可靠性,而且給數(shù)字系統(tǒng)的設(shè)計(jì)方式帶來(lái)了革命性的變化。可編程邏輯器件的發(fā)展是以微電子創(chuàng)作技術(shù)的不斷進(jìn)步為基礎(chǔ)的,其結(jié)構(gòu)和工藝的變化經(jīng)歷了一個(gè)不斷發(fā)展變革的過(guò)程。20世紀(jì)70年代,早期的可編程邏輯器件只有可編程只讀存儲(chǔ)器,紫外線可擦除制度儲(chǔ)存器和電可擦除只讀儲(chǔ)存器3種。隨后,出現(xiàn)了一類結(jié)構(gòu)稍微復(fù)雜的可編程芯片,即可編程邏輯陣列(PLA)。PLA在結(jié)構(gòu)上由一個(gè)可編程的與陣列和可編程的或陣列構(gòu)成,陣列規(guī)模小,編程過(guò)程復(fù)雜繁瑣。PLA既有現(xiàn)場(chǎng)可編程的

37、,也有掩膜可編程的。在這之后出現(xiàn)了可編程陣列邏輯(PAL)器件,它由一個(gè)可編程的“與”平面和一個(gè)固定的“或”平面構(gòu)成,是現(xiàn)場(chǎng)可編程的。它的實(shí)現(xiàn)工藝由反熔絲技術(shù)、EPROM技術(shù)和EEPROM技術(shù)3種。在PLA的基礎(chǔ)上,又發(fā)展除了一種通用陣列邏輯(GAL),如GAL16V8、GAL22V10等。它采用了輸出邏輯宏單元結(jié)構(gòu)和EEPROM工藝,實(shí)現(xiàn)了電可擦除、電可改寫(xiě),由于其輸出結(jié)構(gòu)是可編程的邏輯宏單元,因而其設(shè)計(jì)具有很強(qiáng)的靈活性,至今仍有許多應(yīng)用。這些早期的PLD器件的一個(gè)共同特點(diǎn)是可以實(shí)現(xiàn)速度特性較好的邏輯功能,但由于其結(jié)構(gòu)過(guò)于簡(jiǎn)單,因此,只能用于實(shí)現(xiàn)較小規(guī)模的電路設(shè)計(jì)為了彌補(bǔ)這一缺陷,20世紀(jì)8

38、0年代中期,著名的可編輯邏輯器件廠商Altera和Xilinx分別推出了擴(kuò)展型的復(fù)雜可編程邏輯器件(CPLD)和類似于標(biāo)準(zhǔn)門陣列的現(xiàn)場(chǎng)可編程門陣列(FPGA)。CPLD和FPGA的功能基本相同,只是芯片的內(nèi)部原理和結(jié)構(gòu)有些差別。這兩種器件兼容了PAL和GAL器件的優(yōu)點(diǎn),具有體系結(jié)構(gòu)靈活、邏輯資源豐富、集成度高以及適用范圍廣等特點(diǎn),可用于實(shí)現(xiàn)較大規(guī)模的電路設(shè)計(jì),編程也很靈活,所以,被廣泛應(yīng)用于產(chǎn)品的原型設(shè)計(jì)和小批量生產(chǎn)之中。幾乎所有使用PAL、GAL和中小規(guī)模通用數(shù)字集成電路的場(chǎng)合均可應(yīng)用CPLD和FPGA器件。如今,F(xiàn)PGA器件已成為當(dāng)前主流的可編輯邏輯器件之一。經(jīng)過(guò)近20年的發(fā)展,可編輯邏輯

39、器件已經(jīng)取得了長(zhǎng)足的進(jìn)步,資源更加豐富,使用越來(lái)越方便。將來(lái)的可編程邏輯器件,密度會(huì)更高、速度會(huì)更快、功耗會(huì)更低,同時(shí)還會(huì)增加更多新的功能,向著集成了可編程邏輯、CPU、儲(chǔ)存期等組件的可編程單片系統(tǒng)(SOPC)方向發(fā)展。3.2 FPGA的基本原理對(duì)于可編程邏輯器件,從實(shí)現(xiàn)原理上講,一般分為兩種:基于查找表加寄存器結(jié)構(gòu)和SRAM工藝的FPGA,集成密度高,寄存器資源豐富,適合做時(shí)序邏輯設(shè)計(jì),多用于上萬(wàn)門以上的設(shè)計(jì),如數(shù)字信號(hào)處理和各種算法的實(shí)現(xiàn)等;基于乘積項(xiàng)結(jié)構(gòu)和EEPROM工藝的FPGA,集成密度低,乘積項(xiàng)資源豐富,適合做組合邏輯設(shè)計(jì),多用于5000門以下的設(shè)計(jì),如編碼、譯碼電路等。3.2.1

40、 查找表的基本原理查找表本質(zhì)上就是一個(gè)RAM。目前FPGA中多使用四輸入的LUT,所以每一個(gè)LUT可以看成一個(gè)有4位地址線的16×1的RAM。當(dāng)用戶通過(guò)原理圖或HDL語(yǔ)言描述了一個(gè)邏輯電路以后,F(xiàn)PGA開(kāi)發(fā)軟件會(huì)自動(dòng)計(jì)算邏輯電路的所有可能的結(jié)果,并把結(jié)果事先寫(xiě)入RAM。這樣,每輸入一個(gè)信號(hào)進(jìn)行邏輯運(yùn)算就等于輸入一個(gè)地址進(jìn)行查表,找出地址對(duì)應(yīng)的內(nèi)容,然后輸出即可。3.2.2 基于乘積項(xiàng)的FPGA的邏輯實(shí)現(xiàn)原理以一個(gè)簡(jiǎn)單的電路為例,具體說(shuō)明FPGA是如何利用以上結(jié)構(gòu)實(shí)現(xiàn)邏輯的,電路如下圖:圖3.1 電路圖假設(shè)組合邏輯的輸出(AND3的輸出)為f,則f=(A+B)*C*(!D)=A*C*!

41、D + B*C*!D (以!D表示D的“非”)FPGA將以下面的方式來(lái)實(shí)現(xiàn)組合邏輯f:圖3.2 電路圖2A,B,C,D由FPGA芯片的管腳輸入后進(jìn)入可編程連線陣列(PIA),在內(nèi)部會(huì)產(chǎn)生A,A反,B,B反,C,C反,D,D反8個(gè)輸出。圖中每一個(gè)叉表示相連(可編程熔絲導(dǎo)通),所以得到:f= f1 + f2 = (A*C*!D) + (B*C*!D) 。這樣組合邏輯就實(shí)現(xiàn)了。 圖3電路中D觸發(fā)器的實(shí)現(xiàn)比較簡(jiǎn)單,直接利用宏單元中的可編程D觸發(fā)器來(lái)實(shí)現(xiàn)。時(shí)鐘信號(hào)CLK由I/O腳輸入后進(jìn)入芯片內(nèi)部的全局時(shí)鐘專用通道,直接連接到可編程觸發(fā)器的時(shí)鐘端??删幊逃|發(fā)器的輸出與I/O腳相連,把結(jié)果輸出到芯片管腳。

42、這樣FPGA就完成了圖3所示電路的功能。3.3 FPGA的設(shè)計(jì)方法FPGA的常用設(shè)計(jì)方法包括“自頂向下”和“自下而上”。目前大規(guī)模FPGA設(shè)計(jì)一般選擇“自頂向下”的設(shè)計(jì)方法。所謂“自頂向下”設(shè)計(jì)方法,簡(jiǎn)單地說(shuō),就是采用可完全獨(dú)立于芯片廠商及其產(chǎn)品結(jié)構(gòu)的描述語(yǔ)言,在功能級(jí)對(duì)設(shè)計(jì)產(chǎn)品進(jìn)行定義,并結(jié)合功能仿真技術(shù),以確保設(shè)計(jì)的正確性,在功能定義完成后,利用邏輯綜合技術(shù),把功能描述轉(zhuǎn)換成某一具體結(jié)構(gòu)芯片的網(wǎng)表文件,輸出給廠商的布局布線器進(jìn)行布局布線。布局布線結(jié)果還可反標(biāo)回同一仿真器,進(jìn)行包括功能和時(shí)序的后驗(yàn)證,以保證布局布線所帶來(lái)的門延時(shí)和線延時(shí)不會(huì)影響設(shè)計(jì)的性能?!白皂斚蛳隆痹O(shè)計(jì)方法的優(yōu)越性是顯而易

43、見(jiàn)的。首先,由于功能描述可以完全獨(dú)立于芯片結(jié)構(gòu),在設(shè)計(jì)的最初階段,設(shè)計(jì)師可不受芯片結(jié)構(gòu)的約束,集中精力進(jìn)行產(chǎn)品設(shè)計(jì),進(jìn)而避免了傳統(tǒng)設(shè)計(jì)方法所帶來(lái)的重新再設(shè)計(jì)風(fēng)險(xiǎn),大大縮短了設(shè)計(jì)周期。其次,設(shè)計(jì)的再利用得到保證。目前的電子產(chǎn)品正向模塊化方向發(fā)展。所謂模塊化就是對(duì)以往設(shè)計(jì)成果進(jìn)行修改、組合和再利用,產(chǎn)生全新的或派生設(shè)計(jì)。而“自頂向下”設(shè)計(jì)方法的功能描述可與芯片結(jié)構(gòu)無(wú)關(guān)。因此,可以以一種IP(Intelligence Property 知識(shí)產(chǎn)權(quán))的方式進(jìn)行存檔,以便將來(lái)重新利用。第三,設(shè)計(jì)規(guī)模大大提高。簡(jiǎn)單的語(yǔ)言描述即可完成復(fù)雜的功能,而不需要手工繪圖。第四,芯片選擇更加靈活。設(shè)計(jì)師可在較短的時(shí)間內(nèi)

44、采用各種結(jié)構(gòu)芯片來(lái)完成同一功能描述,從而在設(shè)計(jì)規(guī)模、速度、芯片價(jià)格及系統(tǒng)性能要求等方面進(jìn)行平衡,選擇最佳結(jié)果。目前最為常用的功能描述方法是采用均已成為國(guó)際標(biāo)準(zhǔn)的兩種硬件描述語(yǔ)言VHDL和Verilog HDL。3.4 VHDL硬件描述語(yǔ)言VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,誕生于1982年。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語(yǔ)句外,VHDL的語(yǔ)言形式和描述風(fēng)格與句法是十分類似于一般的計(jì)算機(jī)高級(jí)語(yǔ)言。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì)

45、,或稱設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱可視部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,一旦其內(nèi)部開(kāi)發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計(jì)的基本點(diǎn)。應(yīng)用VHDL進(jìn)行工程設(shè)計(jì)的優(yōu)點(diǎn)是多方面的。1. 與其他的硬件描述語(yǔ)言相比,VHDL具有更強(qiáng)的行為描述能力,從而決定了他成為系統(tǒng)設(shè)計(jì)域最佳的硬件描述語(yǔ)言。強(qiáng)大的行為描述能力是避開(kāi)具體的器件結(jié)構(gòu),從邏輯行為上描述和設(shè)計(jì)大規(guī)模電子系統(tǒng)的重要保證。2. VHDL豐富的仿真語(yǔ)句和庫(kù)函數(shù),使得在任何大系統(tǒng)的設(shè)計(jì)

46、早期就能查驗(yàn)設(shè)計(jì)系統(tǒng)的功能可行性,隨時(shí)可對(duì)設(shè)計(jì)進(jìn)行仿真模擬。3. VHDL語(yǔ)句的行為描述能力和程序結(jié)構(gòu)決定了他具有支持大規(guī)模設(shè)計(jì)的分解和已有設(shè)計(jì)的再利用功能。符合市場(chǎng)需求的大規(guī)模系統(tǒng)高效,高速的完成必須有多人甚至多個(gè)代發(fā)組共同并行工作才能實(shí)現(xiàn)。4. 對(duì)于用VHDL完成的一個(gè)確定的設(shè)計(jì),可以利用EDA工具進(jìn)行邏輯綜合和優(yōu)化,并自動(dòng)的把VHDL描述設(shè)計(jì)轉(zhuǎn)變成門級(jí)網(wǎng)表。5. VHDL對(duì)設(shè)計(jì)的描述具有相對(duì)獨(dú)立性,設(shè)計(jì)者可以不懂硬件的結(jié)構(gòu),也不必管理最終設(shè)計(jì)實(shí)現(xiàn)的目標(biāo)器件是什么,而進(jìn)行獨(dú)立的設(shè)計(jì)3.5 Quartus II簡(jiǎn)介Quartus II軟件是Altera公司在MAX+PLUS II軟件基礎(chǔ)上推

47、出的一種更佳的設(shè)計(jì)軟件。對(duì)于一個(gè)高性能、價(jià)格合理、盡快面市的方案,結(jié)合使用Quartus II軟件和Altera FPGA將是非常好的選擇。Quartus II軟件比所有競(jìng)爭(zhēng)產(chǎn)品具有更佳的集成設(shè)計(jì)環(huán)境(例如綜合、仿真、邏輯分析和布局布線)。Quartus II平面配置器在輸入引腳至所有連接邏輯的信號(hào)追蹤上,表現(xiàn)出色。集成的Signal Tap II邏輯分析器非常易于使用,不像MAX+PLUS II那樣,還要購(gòu)買第三方開(kāi)發(fā)工具。Quartus II軟件比MAX+PLUS II更加可靠,用戶界面更加友好,特別是在仿真,節(jié)點(diǎn)發(fā)現(xiàn)和引腳分配等方面。同樣,圖形激勵(lì)生成器比第三方的仿真工具更快、更有效。轉(zhuǎn)

48、換設(shè)計(jì)一直非常簡(jiǎn)單,在很短的時(shí)間內(nèi),就可以適用到目標(biāo)器件中。在MAX+PLUS II軟件的基礎(chǔ)上,Quartus II軟件具有一些重要的優(yōu)點(diǎn):1. 支持器件:支持MAX 3000A、7000AE、MAX 7000B 和 MAX 7000S系列以及新的MAX II系列。支持 FLEX 10KE®、FLEX 10K®、FLEX 10KA、ACEX、FLEX 6000系列,以及最新的Cyclone、Stratix 和 Stratix II 系列FPGA。2. 性能: 對(duì)于MAX 3000A、MAX 7000AE、MAX 7000B、MAX 7000S、FLEX 10K 和ACEX

49、設(shè)計(jì),比MAX+PLUS II 10.2版本提供更好的平均性能表現(xiàn)。(1) 對(duì)MAX設(shè)計(jì),平均設(shè)計(jì)性能快15;(2) 對(duì)給定的MAX設(shè)計(jì),所需器件資源平均少5。3. 綜合:(1)一體化RTL綜合不僅支持AHDL,還支持最新的VHDL和Verilog語(yǔ)言標(biāo)準(zhǔn)。(2)在綜合和設(shè)計(jì)實(shí)施之前,RTL瀏覽器提供VHDL或者Verilog設(shè)計(jì)的圖形表示(僅限于Quartus II軟件)。(3)支持所有的主要第三方綜合流程。4. 高級(jí)功能:高級(jí)功能支持MAX II CPLD和最新的FPGA器件系列:(1)PowerGauge 功率分析功能支持MAX 3000A, MAX 7000AE和MAX 7000B設(shè)計(jì)

50、和最新的FPGA器件(即將支持MAX II CPLD器件)。(2)LogicLock 基于模塊的設(shè)計(jì)流程(3)SOPC Builder: 同IP輕松集成5. 編譯:(1)物理綜合優(yōu)化(2)時(shí)序收斂平面配置編輯器6. 驗(yàn)證功能:(1)多時(shí)鐘和多周期時(shí)序分析(2)面向FPGA設(shè)計(jì)的SignalTap II 嵌入式邏輯分析器7. 最后一刻設(shè)計(jì)改變支持(ECO支持):(1)芯片編輯器(將于2004年下半年支持MAX II)(2)漸進(jìn)式擬和從MAX+PLUS II軟件轉(zhuǎn)換到Quartus II軟件非常容易,現(xiàn)在可以用Quartus II進(jìn)行所有的Altera新設(shè)計(jì)。Quartus II軟件最新版非常實(shí)用

51、,根本不用再回到老版本去完成一個(gè)設(shè)計(jì)。轉(zhuǎn)到Quartus II軟件的一個(gè)主要原因是其出眾的實(shí)現(xiàn)時(shí)序收斂的能力,這對(duì)大部分有難度的工程是不可缺少的。如果將Altera CPLD或FPGA中的所有邏輯資源全部用上,即使這樣,仍舊能夠滿足速度需要。比較包括Xilinx在內(nèi)的其他PLD供貨商的開(kāi)發(fā)工具,只有Quartus II軟件才是最容易使用的。3.6 核心控制芯片選擇在數(shù)字電路中,核心控制芯片一般有單片機(jī)(MCU)、現(xiàn)場(chǎng)可編程門陣列(FPGA)、復(fù)雜可編程邏輯器件(CPLD)、數(shù)字信號(hào)處理器(DSP)等。由于在實(shí)際應(yīng)用中,本系統(tǒng)要能夠同時(shí)處理三路的血細(xì)胞信號(hào)(RBC,WBC,PLT),且從前面的每

52、一路A/D轉(zhuǎn)換電路出來(lái)的脈沖信號(hào)周期約為40s。因此,若要實(shí)時(shí)地、不失真地對(duì)信號(hào)進(jìn)行采集和處理,必須采用處理速度較高的信號(hào)處理芯片。而普通的單片機(jī)的處理速度已經(jīng)不能滿足系統(tǒng)要求,因此必須采用以DSP、FPGA或CPLD為核心的處理器。同時(shí),由于我們對(duì)DSP不太熟悉,若采用DSP可能會(huì)加大編程和調(diào)試難度,延長(zhǎng)研發(fā)周期,故也不采用該芯片;同時(shí)系統(tǒng)中對(duì)脈沖統(tǒng)計(jì)的部分需要用到RAM,CPLD沒(méi)有自帶的RAM模塊,對(duì)外部的RAM操作處理起來(lái)相當(dāng)麻煩,而FPGA采用VHDL語(yǔ)言和圖形輸入,DSP-Builder等混合編程方式,又有自帶的RAM模塊,操作比較靈活。因此,本系統(tǒng)擬采用FPGA中CycloneI

53、I芯片EP2C5T144C8實(shí)現(xiàn)。本設(shè)計(jì)的器件基礎(chǔ)是FPGA(Field Programmable Gate Array)現(xiàn)場(chǎng)可編程門陣列,與PLD(Programmable Logic Device)可編程邏輯器件統(tǒng)稱為PLD/FPGA,兩者的功能基本相同,只是實(shí)現(xiàn)原理略有不同,能完成任何數(shù)字器件的功能。上至高性能CPU,下至簡(jiǎn)單的74電路,都可以用PLD/FPGA來(lái)實(shí)現(xiàn)。PLD/FPGA如同一張白紙或是一堆積木,工程師可以通過(guò)傳統(tǒng)的原理圖輸入法,或是硬件描述語(yǔ)言自由的設(shè)計(jì)一個(gè)數(shù)字系統(tǒng)。通過(guò)軟件仿真,我們可以事先驗(yàn)證設(shè)計(jì)的正確性。在PCB完成以后,還可以利用PLD/FPGA的在線修改能力,隨

54、時(shí)修改設(shè)計(jì)而不必改動(dòng)硬件電路。使用PLD/FPGA來(lái)開(kāi)發(fā)數(shù)字電路,可以大大縮短設(shè)計(jì)時(shí)間,減少PCB面積,提高系統(tǒng)的可靠性。 PLD的這些優(yōu)點(diǎn)使得PLD技術(shù)在90年代以后得到飛速的發(fā)展,同時(shí)也大大推動(dòng)了EDA軟件和硬件描述語(yǔ)言(HDL)的進(jìn)步。它們是在PAL、GAL等邏輯器件的基礎(chǔ)之上發(fā)展起來(lái)的,同以往的PAL、GAL等相比較,F(xiàn)PGACPLD的規(guī)模比較大,它可以替代幾十甚至幾千塊通用IC芯片。這樣的FPGACPLD實(shí)際上就是一個(gè)子系統(tǒng)部件。這種芯片受到世界范圍內(nèi)電子工程設(shè)計(jì)人員的廣泛關(guān)注和普遍歡迎。經(jīng)過(guò)了十幾年的發(fā)展,許多公司都開(kāi)發(fā)出了多種可編程邏輯器件。比較典型的就是Xilinx公司的FPG

55、A器件系列和Altera公司的CPLD器件系列,它們開(kāi)發(fā)較早,占用了較大的PLD市場(chǎng)。通常來(lái)說(shuō),在歐洲用Xilinx的人多,在日本和亞太地區(qū)用ALTERA的人多,在美國(guó)則是平分秋色。全球PLD/FPGA產(chǎn)品60%以上是由Altera和Xilinx提供的。可以講Altera和Xilinx共同決定了PLD技術(shù)的發(fā)展方向。當(dāng)然還有許多其它類型器件,如:Lattice,Vantis,Actel,Quicklogic,Lucent等。 3.7 FPGA的設(shè)計(jì)流程FPGA開(kāi)發(fā)采用的是一種高層次設(shè)計(jì)方法,這是一種“自頂向下”的方法,適應(yīng)了當(dāng)今芯片開(kāi)發(fā)的復(fù)雜程度的提高、上市時(shí)間緊迫的特點(diǎn)。這種設(shè)計(jì)方法首先從系

56、統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行功能方框的劃分和結(jié)構(gòu)設(shè)計(jì),在方框圖一級(jí)進(jìn)行仿真、糾錯(cuò),并用硬件描述語(yǔ)言對(duì)高層次的系統(tǒng)進(jìn)行描述,在系統(tǒng)一級(jí)(層)進(jìn)行驗(yàn)證。然后用綜合優(yōu)化工具生成具體門電路的網(wǎng)表,其對(duì)應(yīng)的物理實(shí)現(xiàn)級(jí)(層)可以是印刷電路板或?qū)S眉呻娐贰S捎谠O(shè)計(jì)的主要仿真和調(diào)試過(guò)程是在高層次上完成的,這不僅有利于早期發(fā)現(xiàn)結(jié)構(gòu)設(shè)計(jì)上的錯(cuò)誤,避免設(shè)計(jì)工作的浪費(fèi),而且也減少了邏輯功能仿真的工作量,提高了設(shè)計(jì)的一次成功率、其具體步驟如下:按照“自頂向下”的設(shè)計(jì)方法進(jìn)行系統(tǒng)劃分。1) 輸入VHDL/Verilog HDL代碼。這是高層次設(shè)計(jì)中最為普遍的輸入方式,用任何文本編輯器都可用,但通常在專用的HDL編輯環(huán)境中進(jìn)行

57、,因?yàn)閷I(yè)的集成開(kāi)發(fā)環(huán)境通常提供各種結(jié)構(gòu)模版,并且可以自定義各種要素的色彩顯示,提高可讀性。提高輸入效率。此外,還可以采用圖形輸入方式,這種輸入防式具有直觀、容易理解的優(yōu)點(diǎn)。2) 將以上的設(shè)計(jì)輸入編譯成標(biāo)準(zhǔn)的VHDL/Verilog HDL,然后將文件調(diào)入HDL仿真軟件進(jìn)行功能仿真,檢查邏輯功能是否正確。對(duì)于大型設(shè)計(jì),進(jìn)行代碼級(jí)的功能仿真主要是檢驗(yàn)系統(tǒng)功能設(shè)計(jì)的正確性,因?yàn)閷?duì)于大型設(shè)計(jì),綜合、試配要花費(fèi)數(shù)小時(shí),在綜合前對(duì)源代碼仿真,就可以大大減少設(shè)計(jì)重復(fù)的次數(shù)和時(shí)間。一般情況下,這一仿真步驟由EDA工具自動(dòng)進(jìn)行。3) 利用綜合器對(duì)源代碼進(jìn)行綜合優(yōu)化處理,生成門級(jí)描述的網(wǎng)表文件,即將源文件調(diào)入邏輯綜合軟件進(jìn)行邏輯分析處理。也就是說(shuō)將高層次描述(行為或數(shù)據(jù)流級(jí)描述)轉(zhuǎn)換成低層次的網(wǎng)表輸出(寄存器與門級(jí)描述)。邏輯綜合軟件會(huì)生成EDIF(Electronic Design Inter

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論