




版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、EDA原理及應(yīng)用實(shí)驗(yàn)報(bào)告題目:交通燈控制器專業(yè):電子信息工程班級(jí):姓名:學(xué)號(hào): 一、 設(shè)計(jì)題目:交通燈控制器二、 設(shè)計(jì)目標(biāo): 1、設(shè)計(jì)一個(gè)交通信號(hào)燈控制器,由一條主干道和一條支干道匯合成十字路口,在每個(gè)入口處設(shè)置紅、綠、黃三色信號(hào)燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時(shí)間停在禁行線外。2、紅、綠、黃發(fā)光二極管作信號(hào)燈。3、主干道亮綠燈時(shí),支干道亮紅燈;支干道亮綠燈時(shí),主干道亮紅燈。4、主、支干道均有車時(shí),兩者交替允許通行,主干道每次放行45秒,支干道每次放行25秒,設(shè)立45秒、25秒計(jì)時(shí)、顯示電路。5、在每次由綠燈亮到紅燈亮的轉(zhuǎn)換過(guò)程中,要亮5秒黃燈作為過(guò)渡,使行駛中的車
2、輛有時(shí)間停到禁行線外,設(shè)立5秒計(jì)時(shí)、顯示電路。三、 設(shè)計(jì)原理:(含系統(tǒng)總的原理圖) 由兩個(gè)分頻器模塊,三個(gè)計(jì)數(shù)器模塊及它的選擇器,一個(gè)掃描數(shù)碼管模塊,和一個(gè)紅綠燈控制模塊連接而成。RTL狀態(tài)圖四、 設(shè)計(jì)內(nèi)容:(含狀態(tài)轉(zhuǎn)換圖、軟件流程圖、說(shuō)明文字等,每單獨(dú)模塊的圖標(biāo)和VHDL程序;最后為總體程序框圖)分頻器1LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF ISPORT(CLK:IN STD_LOGIC;-D:IN STD_LOGIC_VECTOR(3 DOWNTO 0);FOU
3、T:OUT STD_LOGIC);END;ARCHITECTURE one OF DVF ISSIGNAL FULL:STD_LOGIC;BEGINP_REG:PROCESS(CLK)VARIABLE CNT8:INTEGER RANGE 48000000 DOWNTO 0;BEGINIF CLKEVENT AND CLK=1 THENIF CNT8=24000000 THENCNT8:=0;FULL=1;ELSE CNT8:=CNT8+1;FULL=0;END IF;END IF;END PROCESS P_REG;P_DIV:PROCESS(FULL)VARIABLE CNT2:STD_L
4、OGIC;BEGINIF FULLEVENT AND FULL=1 THENCNT2:=NOT CNT2;IF CNT2=1 THEN FOUT=1;ELSE FOUT=0;END IF;END IF;END PROCESS P_DIV;END;說(shuō)明:采用的是48M時(shí)鐘輸入,作為后面的時(shí)鐘信號(hào)。分頻器2LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF2 ISPORT(CLK:IN STD_LOGIC;-D:IN STD_LOGIC_VECTOR(3 DOWNTO 0);FOUT
5、:OUT STD_LOGIC);END;ARCHITECTURE one OF DVF2 ISSIGNAL FULL:STD_LOGIC;BEGINP_REG:PROCESS(CLK)VARIABLE CNT8:INTEGER RANGE 48000000 DOWNTO 0;BEGINIF CLKEVENT AND CLK=1 THENIF CNT8=20000 THENCNT8:=0;FULL=1;ELSE CNT8:=CNT8+1;FULL=0;END IF;END IF;END PROCESS P_REG;P_DIV:PROCESS(FULL)VARIABLE CNT2:STD_LOGI
6、C;BEGINIF FULLEVENT AND FULL=1 THENCNT2:=NOT CNT2;IF CNT2=1 THEN FOUT=1;ELSE FOUT=0;END IF;END IF;END PROCESS P_DIV;END;說(shuō)明:改變了分頻器的大小,這個(gè)頻率很高,是給掃描數(shù)碼管模塊使用的。計(jì)數(shù)器1LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cntn ISPORT(CLK:IN STD_LOGIC;CLR:IN STD_LOGIC_vector(2 downto 0
7、);U:IN STD_LOGIC_VECTOR(2 DOWNTO 0);A,B:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE bhv OF cntn ISSIGNAL J,K,L:STD_LOGIC;SIGNAL Q2,Q1:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINa1:PROCESS(CLK)BEGINIF CLR=001 THEN Q1=0100;ELSIF U=010 THENIF CLKEVENT AND CLK=1 THEN Q1=Q1-1; IF Q1=0000 THEN Q1=1001;J=1;EL
8、SE J=0;END IF;END IF;A=Q1;END IF;END PROCESS;a2:PROCESS(J) BEGINIF CLR=001 THEN Q2=0010;ELSIF U=010 THEN IF JEVENT AND J=1 THEN Q2=Q2-1; IF Q2=0000 THEN Q2=0010;K=0;ELSE K=1;END IF; END IF;B=Q2; END IF; END PROCESS; END ;說(shuō)明:作為支干道通行時(shí)間,倒計(jì)時(shí)25s。計(jì)數(shù)器2LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_L
9、OGIC_UNSIGNED.ALL;ENTITY cntm ISPORT(CLK:IN STD_LOGIC;CLR:IN STD_LOGIC_vector(2 downto 0);U:IN STD_LOGIC_VECTOR(2 DOWNTO 0);C,D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE bhv OF cntm ISSIGNAL J,K,L:STD_LOGIC;SIGNAL Q2,Q1:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINa1:PROCESS(CLK)BEGINIF CLR=010 THEN Q1
10、=0101;ELSIF U=001 THENIF CLKEVENT AND CLK=1 THEN Q1=Q1-1; IF Q1=0000 THEN Q1=1001;J=1;ELSE J=0;END IF;END IF;C=Q1;END IF;END PROCESS;a2:PROCESS(J) BEGINIF CLR=010 THEN Q2=0000;ELSIF U=001 THEN IF JEVENT AND J=1 THEN Q2=Q2-1; IF Q2=0000 THEN Q2=0010;K=0;ELSE K=1;END IF; END IF;D=Q2; END IF; END PROCE
11、SS; END ;說(shuō)明:要亮5秒黃燈作為過(guò)渡計(jì)數(shù)器3LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cntl ISPORT(CLK:IN STD_LOGIC;CLR:IN STD_LOGIC_vector(2 downto 0);U:IN STD_LOGIC_VECTOR(2 DOWNTO 0);E,F:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE bhv OF cntl ISSIGNAL J,K,L:STD_LOGIC;SIGN
12、AL Q2,Q1:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINa1:PROCESS(CLK)BEGINIF CLR=001 THEN Q1=0100;ELSIF U=000 THENIF CLKEVENT AND CLK=1 THEN Q1=Q1-1; IF Q1=0000 THEN Q1=1001;J=1;ELSE J=0;END IF;END IF;E=Q1;END IF;END PROCESS;a2:PROCESS(J) BEGINIF CLR=001 THEN Q2=0100;ELSIF U=000 THEN IF JEVENT AND J=1 THEN Q2=
13、Q2-1; IF Q2=0000 THEN Q2=0010;K=0;ELSE K=1;END IF; END IF;FQ1=E;Q2Q1=C;Q2Q1=A;Q2NULL;END CASE;END PROCESS;END ;說(shuō)明:不同的狀態(tài)數(shù)碼管分別顯示45s,25s,5s倒計(jì)時(shí)。紅綠燈控制模塊library ieee;use ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity deng isgeneric(a:integer:=45;b:integer:=5;c:integer:=25);port(clk,rst : i
14、n std_logic; R1,G1,Y1,R2,G2,Y2 : out std_logic; U:out std_logic_vector(2 downto 0);end;architecture one of deng istype fsm_st is (QA,QB,QC,QD);signal current_state , next_state : fsm_st;SIGNAL q: integer range 0 TO 200;begin-process(clk)-begin-if clk = 1 and clkevent then-if q=29 then q=0;else q=q+1
15、;end if;end if;-end process;process (clk)begin if rst = 0 then current_state = QA;q=0; elsif clk = 1 and clkevent then current_state = next_state;if q=a+b+b+c-1 then q=0;else qG1 = 0;R2= 0;R1=1;Y1=1;G2=1;Y2=1;U=000; if q=a-1 then next_state = QB; else next_state Y1=0;R2= 0;R1=1;G1 = 1;G2=1;Y2=1;U=00
16、1; if q=a+b-1 then next_state = QC; else next_state R1=0;G2= 0;Y1=1;G1 = 1;R2=1;Y2=1;U=010; if q=a+b+c-1 then next_state = QD; else next_state R1=0;Y2= 0;Y1=1;G1 = 1;G2=1;R2=1;U=001; if q=a+b+b+c-1 then next_state = QA; else next_state bt=NOT00000001;abt=NOT00000010;abt=NOT00000100;abt=NOT00001000;abt=NOT00010000;abt=NOT00100000;abt=NOT01000000;abt=NOT10000000;anull; end case;end process p1;p2:process(clk) begin if clkevent and clk=1 then cnt8sgsgsgsgsgsgsgsgsg
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 第14課《詩(shī)詞三首:行路難》教學(xué)設(shè)計(jì) 2024-2025學(xué)年統(tǒng)編版語(yǔ)文九年級(jí)上冊(cè)
- 學(xué)期教學(xué)任務(wù)分配表計(jì)劃
- 企業(yè)文化建設(shè)的經(jīng)驗(yàn)分享計(jì)劃
- 中醫(yī)科室醫(yī)療安全措施
- 份全面的個(gè)人工作計(jì)劃指南
- 企業(yè)內(nèi)部保密文件管理
- 企業(yè)級(jí)的大規(guī)模數(shù)據(jù)處理與云計(jì)算解決方案
- 會(huì)計(jì)工作中的自我提升計(jì)劃
- 企業(yè)人才發(fā)展與激勵(lì)機(jī)制設(shè)計(jì)
- 996工作制度詳情
- 曲靖市出租汽車從業(yè)資格證區(qū)域科目考試題(附答案)
- 2025年安徽城市管理職業(yè)學(xué)院?jiǎn)握新殬I(yè)技能考試題庫(kù)匯編
- 2025年湖南國(guó)防工業(yè)職業(yè)技術(shù)學(xué)院?jiǎn)握新殬I(yè)傾向性測(cè)試題庫(kù)及參考答案
- 2025年湖南水利水電職業(yè)技術(shù)學(xué)院?jiǎn)握新殬I(yè)技能測(cè)試題庫(kù)附答案
- 統(tǒng)編版2024一年級(jí)下冊(cè)語(yǔ)文 8 《夜色》 課件
- 腰椎間盤突出癥課件(共100張課件)
- 《營(yíng)養(yǎng)配餐》(教學(xué)設(shè)計(jì))-2024-2025學(xué)年北師大版數(shù)學(xué)六年級(jí)上冊(cè)
- YYT 0657-2017 醫(yī)用離心機(jī)行業(yè)標(biāo)準(zhǔn)
- 初高中歷史教學(xué)銜接
- 01SS105給排水常用儀表及特種閥門安裝圖集
- 六年級(jí)綜合實(shí)踐活動(dòng)課件-走進(jìn)立法司法機(jī)關(guān) 全國(guó)通用(共19張PPT)
評(píng)論
0/150
提交評(píng)論