自動(dòng)售貨機(jī)課程設(shè)計(jì)_圖文_第1頁
自動(dòng)售貨機(jī)課程設(shè)計(jì)_圖文_第2頁
自動(dòng)售貨機(jī)課程設(shè)計(jì)_圖文_第3頁
自動(dòng)售貨機(jī)課程設(shè)計(jì)_圖文_第4頁
自動(dòng)售貨機(jī)課程設(shè)計(jì)_圖文_第5頁
已閱讀5頁,還剩10頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、中北大學(xué)課程設(shè)計(jì)說明書2011/2012 學(xué)年第 1 學(xué)期  學(xué) 院:電子與計(jì)算機(jī)科學(xué)技術(shù)學(xué)院 專 業(yè):電子科學(xué)與技術(shù) 學(xué) 生 姓 名:包文婷學(xué) 號(hào):0906044205課程設(shè)計(jì)題目:自動(dòng)售貨機(jī)起 迄 日 期:2011年12月 19日2012年1月6日課程設(shè)計(jì)地點(diǎn):電子科學(xué)與技術(shù)專業(yè)實(shí)驗(yàn)室指 導(dǎo) 教 師:文豐系主任:劉文怡   目錄 1. 設(shè)計(jì)目的22. 設(shè)計(jì)要求23. 設(shè)計(jì)內(nèi)容24. 單片機(jī)源程序35. 電路原理圖及PCB版圖66. 仿真結(jié)果67. 設(shè)計(jì)總結(jié)98. 心得體會(huì)109. 參考文獻(xiàn)11一、設(shè)計(jì)目的:1)掌握電子電

2、路的一般設(shè)計(jì)方法和設(shè)計(jì)流程;2)學(xué)習(xí)使用PROTEL軟件繪制電路原理圖及印刷板圖;3)掌握應(yīng)用EWB對(duì)所設(shè)計(jì)的電路進(jìn)行仿真,通過仿真結(jié)果驗(yàn)證設(shè)計(jì)的正確性。二、設(shè)計(jì)要求:設(shè)計(jì)一臺(tái)自動(dòng)售貨機(jī),要求如下:1用開關(guān)或4×4鍵盤表示3種線,例如:1元、5元、10元。2. 用開關(guān)或4×4鍵盤表示3種商品,每一種商品都有自己的價(jià)格。3. 用數(shù)碼管顯示錢數(shù),以元為單位。4買商品時(shí),先輸入錢,例如輸入10元錢,再按所要購(gòu)買的商品鍵,數(shù)碼管顯示找的錢數(shù),發(fā)光二極管亮表示商品已經(jīng)輸出。5若輸入的錢數(shù)少于物品的價(jià)格,各位數(shù)碼管的小數(shù)點(diǎn)亮,表示退出所輸入的錢。三、設(shè)計(jì)內(nèi)容:本次課程設(shè)計(jì)利用單片機(jī)系統(tǒng)

3、實(shí)現(xiàn),通過編程利用單片機(jī)對(duì)鍵盤進(jìn)行掃描,當(dāng)檢測(cè)到某個(gè)按鍵被按下的時(shí)候,根據(jù)判斷出來的按鍵進(jìn)行相應(yīng)的操作。當(dāng)檢測(cè)出投幣鍵1,2,3時(shí),對(duì)錢數(shù)進(jìn)行累加。S1代表投幣1元S2代表投幣5元,S3代表投幣10元,S4代表選擇1元商品,S5代表選擇5元商品,S6代表選擇10元商品。當(dāng)檢測(cè)出商品選擇鍵1,2,3時(shí),對(duì)錢數(shù)進(jìn)行判斷,如果投入的錢數(shù)大于商品需要的錢數(shù)時(shí),輸出商品,二極管燈亮,表示已經(jīng)輸出商品,當(dāng)錢數(shù)少于商品所需要的錢數(shù)時(shí),在數(shù)碼管上輸出小數(shù)點(diǎn)。顯示模塊利用兩位數(shù)碼管通過動(dòng)態(tài)掃描顯示投入的錢數(shù),并在購(gòu)買完商品后顯示剩余的錢數(shù)。四、源程序如下:#include #define uint unsign

4、ed int #define uchar unsigned char sbit P37=P37;sbit P36=P36;sbit P21=P21;sbit P10=P10;sbit P11=P11;sbit P12=P12;sbit P13=P13;sbit P14=P14;sbit P15=P15;sbit P16=P16; /定義鍵盤IO引腳uchar num,shi,ge;uchar code table=0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xC6,0xA1,0x86,0x8E;void delay(

5、uint z;void main(P1=0xff;num=0;while(1if(P10=0 /投幣鍵1delay(20;if(P10=0num=num+1;while(!P10; /檢測(cè)鍵松開if(P11=0 /投幣鍵2delay(20;if(P11=0num=num+5;while(!P11;if(P12=0 /投幣鍵3 delay(20;if(P12=0num=num+10;while(!P12;if(P13=0 /商品選擇鍵1 delay(20;if(P13=0if(num>=1 /判斷錢數(shù)是否足夠num=num-1;P21=0; /點(diǎn)亮二極管,表示商品輸出Else /錢數(shù)不夠n

6、um=num;P3=0xff; /點(diǎn)亮各位數(shù)碼管小數(shù)點(diǎn)P0=0x7f;while(!P13;delay(800;if(P14=0 /商品選擇鍵2 delay(20;if(P14=0if(num>=5num=num-5;P21=0;elsenum=num;P3=0xff;P0=0x7f;while(!P14;delay(800;if(P15=0 /商品選擇鍵13 delay(20;if(P15=0 if(num>=10num=num-10;P21=0;elsenum=num;P3=0xff;P0=0x7f;while(!P15;delay(800;if(P16=0delay(20;i

7、f(P16=0 num=0;while(!P16;if(num>9 /顯示模塊shi=num/10;ge=num%10;P3=0xbf;P0=tableshi;delay(2;P3=0x7f;P0=tablege;delay(2;elseP3=0x7f;P0=tablenum;P21=1;if(num>100num=0; void delay(uint z /延時(shí)子程序uint x,y;for(x=z;x>0;x-for(y=110;y>0;y-; 五、電路原理圖電路PCB版圖六、 仿真通過單片機(jī)仿真軟件Proteus,對(duì)整個(gè)系統(tǒng)進(jìn)行仿真。仿真截圖如下:投幣一元投幣1元

8、+5元+10元累加購(gòu)買東西,商品輸出,二極管LED燈亮投幣1元+1元+5元+10元累加購(gòu)買5元商品后余額顯示錢數(shù)少于物品的價(jià)格,各位數(shù)碼管的小數(shù)點(diǎn)亮 表示退出所輸入的錢七、前期設(shè)計(jì)方案方案一 通過利用小,中規(guī)模數(shù)字芯片設(shè)計(jì)電路來實(shí)現(xiàn)系統(tǒng)的累加,顯示等功能。方案二 利用FPGA芯片通過VHDL硬件描述語言來實(shí)現(xiàn)系統(tǒng)對(duì)按鍵檢測(cè),投幣累加,找回零錢,掃描顯示等功能,再通過外圍電路擴(kuò)展實(shí)現(xiàn)系統(tǒng)。方案三 利用單片機(jī)與及外圍擴(kuò)展電路,通過編程來實(shí)現(xiàn)系統(tǒng)功能。通過比較以及自身實(shí)際情況和掌握的編程語言,選擇了通過第三種方案來完成該自動(dòng)售貨機(jī)課程設(shè)計(jì)。八、設(shè)計(jì)心得體會(huì)在此次課程設(shè)計(jì)中,通過對(duì)平時(shí)課程的理解,資料

9、的查閱以及在指導(dǎo)老師的指導(dǎo)下基本完成了本次課程設(shè)計(jì)。在中期檢查中確定自己的設(shè)計(jì)方案與思路。在本次課程設(shè)計(jì),認(rèn)識(shí)到了在知識(shí)儲(chǔ)備上還有明顯的不足。在設(shè)計(jì)中,我認(rèn)真的完成每一個(gè)步驟,并積極與同學(xué)們展開討論進(jìn)而完成課程設(shè)計(jì)。通過本次實(shí)驗(yàn)我進(jìn)一步了解并基本掌握了 Protel 電路設(shè)計(jì)軟件和單片機(jī)仿真軟件Proteus 的功能以及使用方法。并用這兩個(gè)軟件進(jìn)行該課程設(shè)計(jì)的各種操作。其次通過設(shè)計(jì)我對(duì)硬件電路也具有了一定的了解,并能對(duì)其進(jìn)行簡(jiǎn)單的調(diào)試和分析。同時(shí)也鞏固了計(jì)算機(jī)編程語言C語言的相關(guān)知識(shí)。并能編寫一定范圍內(nèi)的程序來實(shí)現(xiàn)各種功能。更重要的是通過該設(shè)計(jì)使我認(rèn)識(shí)到自身有許多不足,平時(shí)必須具備更加豐富的知識(shí)儲(chǔ)備和認(rèn)真負(fù)責(zé)的工作態(tài)度,才能使自己成為有用之人。這樣對(duì)我以后的學(xué)習(xí)會(huì)有很深的幫助。九、參考文獻(xiàn) 童詩(shī)白模擬電子技術(shù)基礎(chǔ)北京:高等教育出版社,2002 張建華數(shù)字電子技

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論