




版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、精選優(yōu)質(zhì)文檔-傾情為你奉上 摘 要 本課程設(shè)計(jì)是實(shí)現(xiàn)演奏三首樂(lè)曲演奏電路的硬件實(shí)現(xiàn)??偣卜譃槲鍌€(gè)模塊,分別為20MHZ轉(zhuǎn)換1MHZ分頻模塊,1MHZ轉(zhuǎn)換4HZ分頻模塊,地址計(jì)數(shù)器模塊,rom存儲(chǔ)器模塊,樂(lè)音分頻模塊。音符的頻率由樂(lè)音分頻模塊獲得,這是一個(gè)數(shù)控分頻器。由時(shí)鐘端輸入一具有1MHZ的信號(hào),分頻比由預(yù)置數(shù)值決定,其輸出頻率將決定每一個(gè)音符的音調(diào)。音符的持續(xù)時(shí)間根據(jù)樂(lè)曲的速度及每個(gè)音符的節(jié)拍數(shù)來(lái)決定。三首樂(lè)曲分別為”兩只老虎”,“找朋友”,“世上只有媽媽好”。關(guān)鍵詞:樂(lè)曲演奏電路,20MHZ轉(zhuǎn)換1MHZ分頻模塊,1MHZ轉(zhuǎn)換4HZ分頻模塊, 地 址計(jì)數(shù)器模塊 ,rom存儲(chǔ)器模塊 ,樂(lè)音分
2、頻模塊 Pick to This course is designed to play music three play hardware implementation of the circuit. A total is divided into five modules, respectively for 20 MHZ conversion 1 MHZ frequency module, 1 MHZ conversion 4 HZ frequency module, address counter module, ROM memory module, dividing sound modu
3、le. Note the frequency of the sound frequency module, a CNC divider. By the input, a signal with 1 MHZ clock end, frequency division ratio determined by the preset value, the output frequency will determine the tones of every note. The duration of the notes according to the number of speed and every
4、 note of the beat of the music to decide. Three piece respectively "two tigers", "find friends", "only a mother good in the world".Keywords: music playing circuit, 20 MHZ conversion 1 MHZ frequency module, 1 MHZ conversion 4 HZ frequency module, address count專心-專注-專業(yè) 前言
5、電子技術(shù)飛速發(fā)展,傳統(tǒng)的電子電路設(shè)計(jì)已經(jīng)滿足不了人們的要求,可編程邏輯邏輯器件和EDA技術(shù)的運(yùn)用大大地提高了工作效率。大大地減輕了電路設(shè)計(jì)和PCB設(shè)計(jì)的難度。由于本人出于對(duì)音樂(lè)的熱愛(ài),故用EDA技術(shù)設(shè)計(jì)了一款音樂(lè)播放器。設(shè)計(jì)要求連續(xù)播放三首樂(lè)曲。設(shè)計(jì)采取用quartusII進(jìn)行仿真,然后用開(kāi)發(fā)板進(jìn)行測(cè)試?;诠こ填I(lǐng)域中EDA技術(shù)應(yīng)用的巨大實(shí)用價(jià)值,以及重視實(shí)踐能力和創(chuàng)新意識(shí)培養(yǎng)的重要性,所以對(duì)各個(gè)模塊進(jìn)行了嚴(yán)格的測(cè)試。這是本人的第一次的關(guān)于EDA的作品,如果有不足之處,歡迎指正,本人郵箱liu_shu_quan。 目 錄 第1章 分頻器1.1 分頻器 分頻器有三個(gè),分別是20MHZ轉(zhuǎn)換為1MH
6、Z分頻器,1MHZ轉(zhuǎn)換為4HZ分頻器,樂(lè)音分頻器。1.2 20MHZ轉(zhuǎn)換為1MHZ分頻器 因?yàn)殚_(kāi)發(fā)板提供的時(shí)鐘信號(hào)為20MHZ的時(shí)鐘信號(hào),為了給樂(lè)音分頻器和1MHZ轉(zhuǎn)換為4HZ的分頻器提供1MHZ的時(shí)鐘信號(hào),故設(shè)計(jì)了此分頻器。主要實(shí)現(xiàn)的功能是20MHZ的輸出信號(hào)轉(zhuǎn)換為1MHZ的輸出信號(hào),分頻器的符號(hào)圖如圖1-1所示。 圖1-1 20MHZ轉(zhuǎn)換為1MHZ分頻器 波形如圖1-2所示。 圖1-2 20MHZ轉(zhuǎn)換為1MHZ分頻器波形1.3 1MHZ轉(zhuǎn)換為4HZ分頻器 4 HZ的時(shí)鐘信號(hào)是樂(lè)譜節(jié)拍信號(hào),為地址計(jì)數(shù)器與rom存儲(chǔ)器提供信號(hào)。分頻器的符號(hào)圖如圖1-3所示。 圖1-3 1MHZ轉(zhuǎn)換為4HZ分頻
7、器 波形如圖1-4所示。 圖1-4 1MHZ轉(zhuǎn)換為4HZ分頻器1.4 樂(lè)音分頻器 由1MHZ提供時(shí)鐘信號(hào),根據(jù)樂(lè)譜存儲(chǔ)器的輸出得到對(duì)應(yīng)音符的分頻值,然后輸出相應(yīng)的方波信號(hào)。符號(hào)圖如圖1-5所示。 圖1-5 樂(lè)音分頻器 本人設(shè)計(jì)的樂(lè)音分頻器有87個(gè)分頻值,由于播放固定三首樂(lè)曲,使用到11個(gè)音符的分頻值,篇幅有限,故只列出11個(gè)波形圖。 樂(lè)音分頻輸出部分波形如圖1-6所示。 圖1-6 樂(lè)音分頻器輸出部分波形 樂(lè)音分頻輸出部分波形如圖1-7所示。 圖1-7 樂(lè)音分頻器輸出部分波形 樂(lè)音分頻輸出部分波形如圖1-8所示。 圖1-8 樂(lè)音分頻器輸出部分波形 樂(lè)音分頻輸出部分波形如圖1-9所示。 圖1-9樂(lè)
8、音分頻器輸出部分波形 樂(lè)音分頻輸出部分波形如圖1-10所示。 圖1-10樂(lè)音分頻器輸出部分波形 樂(lè)音分頻輸出部分波形如圖1-11所示。 圖1-11樂(lè)音分頻器輸出部分波形 樂(lè)音分頻輸出部分波形如圖1-12所示。 圖1-12樂(lè)音分頻器輸出部分波形 樂(lè)音分頻輸出部分波形如圖1-13所示。 圖1-13 樂(lè)音分頻器輸出部分波形 樂(lè)音分頻輸出部分波形如圖1-14所示。 圖1-14 樂(lè)音分頻器輸出部分波形 樂(lè)音分頻輸出部分波形如圖1-15所示。 圖1-15 樂(lè)音分頻器輸出部分波形 樂(lè)音分頻輸出部分波形如圖1-16所示。 圖1-16 樂(lè)音分頻器輸出部分波形 第2章 存儲(chǔ)器與地址發(fā)生器2.1 地址發(fā)生器 九位二
9、進(jìn)制計(jì)數(shù)器,內(nèi)部設(shè)置計(jì)數(shù)最大值為474,作為音符數(shù)據(jù)rom存儲(chǔ)器的地址發(fā)生器。這個(gè)計(jì)數(shù)器的計(jì)數(shù)頻率為4HZ。其符號(hào)圖如圖2-1所示。 圖2-1 地址發(fā)生器2.2 rom存儲(chǔ)器 rom存儲(chǔ)器存放的數(shù)據(jù)是三首樂(lè)曲的信息,分別為“兩只老虎”,“找朋友”,“世上只有媽媽好”。由4HZ的信號(hào)控制時(shí)間,根據(jù)地址發(fā)生器的輸出值作為rom存儲(chǔ)器的地址輸入,驅(qū)動(dòng)rom存儲(chǔ)器相對(duì)應(yīng)的數(shù)據(jù)輸出。其符號(hào)圖如圖2-2所示。 圖2-2 rom存儲(chǔ)器 rom存儲(chǔ)器mif文件如表2-1所示。 表2-1 rom存儲(chǔ)器mif文件數(shù)據(jù) rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-3所示。 圖2-3 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如
10、圖2-4所示。 圖2-4 rom存儲(chǔ)器波形rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-5所示。 圖2-5 rom存儲(chǔ)器波形rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-6所示。 圖2-6 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-7所示。 圖2-7 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-8所示。 圖2-8 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-9所示。 圖2-9 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-10所示。 圖2-10 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-11所示。 圖2-11 rom存儲(chǔ)器波形rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-12所示。 圖2-12 rom存
11、儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-13所示。 圖2-13 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-14所示。 圖2-14 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-15所示。 圖2-15 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-16所示。 圖2-16 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-17所示。 圖2-17 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-18所示。 圖2-18 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-19所示。 圖2-19 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-20所示。 圖2-20 rom存儲(chǔ)器波形
12、 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-21所示。 圖2-21 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-22所示。 圖2-22 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-23所示。 圖2-23 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-24所示。 圖2-24 rom存儲(chǔ)器波形 rom存儲(chǔ)器輸出數(shù)據(jù)波形如圖2-25所示。 圖2-25 rom存儲(chǔ)器波形 第3章 頂層電路設(shè)計(jì)3.1播放器的頂層電路 頂層原理圖如圖3-1所示。 圖3-1 頂層原理圖3.2 播放器的組成 實(shí)驗(yàn)板的時(shí)鐘輸出是20MHZ,經(jīng)過(guò)分頻產(chǎn)生1MHZ的周期信號(hào)用作樂(lè)音分頻器的時(shí)鐘,4HZ的信號(hào)用作樂(lè)譜存儲(chǔ)器的時(shí)鐘
13、。樂(lè)譜存儲(chǔ)器存放樂(lè)譜的編碼,樂(lè)音分頻器輸出每個(gè)樂(lè)音對(duì)應(yīng)的頻率,驅(qū)動(dòng)揚(yáng)聲器發(fā)音。樂(lè)譜存儲(chǔ)器地址保持時(shí)間就是每個(gè)樂(lè)音的發(fā)音時(shí)間,由樂(lè)譜存儲(chǔ)器的時(shí)鐘周期決定。播放器如圖3-2所示。 圖3-2 播放器組成 第4章 課程設(shè)計(jì)總結(jié) 通過(guò)此次課程設(shè)計(jì),我學(xué)到了許多,加深了對(duì)EDA技術(shù)的了解。將學(xué)到的知識(shí)付諸實(shí)踐,更好地從結(jié)合工程實(shí)際層面來(lái)檢驗(yàn)學(xué)習(xí)效果。實(shí)踐出真知,實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)。此次課程設(shè)計(jì)使我明白了實(shí)踐的重要。這次課程設(shè)計(jì)雖然面臨很多困難,但是都被我一一克服。 EDA是一門很重要的課程,所以我為此做了充分的準(zhǔn)備。 參考文獻(xiàn)1潘松,黃繼業(yè).EDA技術(shù)與VHDL.北京:清華出版社,2014 附錄-2
14、0MHZ轉(zhuǎn)換為1MHZ分頻器的VHDL程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY FENPIN20 IS PORT(CLK: IN STD_LOGIC;OUT1:OUT STD_LOGIC);END ENTITY FENPIN20;ARCHITECTURE ONE OF FENPIN20 IS SIGNAL T1: INTEGER RANGE 0 TO 9;SIGNAL FIM: STD_LOGIC;BEGINPROCESS(CLK) BEGINIF CLK'EVENT AND CLK='1' then T1<
15、;=T1+1;IF T1 = 9 THEN FIM<= NOT FIM;T1<=0;END IF;END IF;END PROCESS;OUT1<=FIM;END ONE;-1MHZ轉(zhuǎn)換為4HZ分頻器的VHDL程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY FENPIN1 ISPORT(CLK: IN STD_LOGIC;OUT1: OUT STD_LOGIC);END ENTITY FENPIN1;ARCHITECTURE FOUR OF FENPIN1 ISSIGNAL T1: INTEGER RANGE 0 TO ;
16、SIGNAL FIM: STD_LOGIC;BEGINPROCESS(CLK)BEGINIF CLK'EVENT AND CLK='1' THEN T1<=T1+1;IF T1= THEN FIM<= NOT FIM;T1<=0;END IF;END IF;END PROCESS;OUT1<=FIM;END FOUR;-地址發(fā)生器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT8 ISPORT(CLK: IN STD_LOGIC;
17、Q: OUT STD_LOGIC_VECTOR(8 DOWNTO 0);END;ARCHITECTURE BHV OF CNT8 ISSIGNAl q1: sTD_LOGIC_vector(8 downto 0);BEGINprocess(clk)beginIF CLK'EVENT AND CLK='1' THEN Q1 <=Q1+1;END IF;if q1="" then q1<=(others=>'0');end if;END PROCESS;Q<=Q1;END BHV;-存儲(chǔ)器LIBRARY ieee;
18、USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.all;ENTITY rom3 ISPORT(address: IN STD_LOGIC_VECTOR (8 DOWNTO 0);clock: IN STD_LOGIC ;q: OUT STD_LOGIC_VECTOR (6 DOWNTO 0);END rom3;ARCHITECTURE SYN OF rom3 ISSIGNAL sub_wire0: STD_LOGIC_VECTOR (6 DOWNTO 0);COMPONENT altsyncramGENERIC (addr
19、ess_aclr_a: STRING;init_file: STRING;intended_device_family: STRING;lpm_hint: STRING;lpm_type: STRING;numwords_a: NATURAL;operation_mode: STRING;outdata_aclr_a: STRING;outdata_reg_a: STRING;widthad_a: NATURAL;width_a: NATURAL;width_byteena_a: NATURAL);PORT (clock0: IN STD_LOGIC ;address_a: IN STD_LO
20、GIC_VECTOR (8 DOWNTO 0);q_a: OUT STD_LOGIC_VECTOR (6 DOWNTO 0);END COMPONENT;BEGINq <= sub_wire0(6 DOWNTO 0);altsyncram_component : altsyncramGENERIC MAP (address_aclr_a => "NONE",init_file => "E:/作業(yè)/lesson EDA/畢業(yè)設(shè)計(jì)/播放器(3)/頂層原理圖/mus.mif",intended_device_family => &quo
21、t;Stratix",lpm_hint => "ENABLE_RUNTIME_MOD=NO",lpm_type => "altsyncram",numwords_a => 512,operation_mode => "ROM",outdata_aclr_a => "NONE",outdata_reg_a => "UNREGISTERED",widthad_a => 9,width_a => 7,width_byteena_a =>
22、1)PORT MAP (clock0 => clock,address_a => address,q_a => sub_wire0);END SYN;-樂(lè)音分頻器LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY YUEYINFENPIN ISPORT (INX: IN STD_LOGIC_VECTOR(6 DOWNTO 0);-樂(lè)音編碼CLK: IN STD_LOGIC;-1MHZ時(shí)鐘信號(hào)SPK: OUT STD_LOGIC);-輸出頻率END ENTITY YUEYINFENPIN;ARCHITECTURE ONE OF YU
23、EYINFENPIN ISSIGNAL TEMP: INTEGER RANGE 0 TO 30000;-分頻計(jì)數(shù)值SIGNAL COUNT: INTEGER RANGE 0 TO 20000;-計(jì)數(shù)SIGNAL FIM: STD_LOGIC;-分頻BEGINPROCESS (INX,CLK)BEGINCASE INX ISWHEN "" => TEMP <=30000;WHEN "" => TEMP <=18181;WHEN "" => TEMP <=17160;WHEN ""
24、=> TEMP <=16197;WHEN "" => TEMP <=15288;WHEN "" => TEMP <=14430;WHEN "" => TEMP <=13620;WHEN "" => TEMP <=12855;WHEN "" => TEMP <=12134;WHEN "" => TEMP <=11453;WHEN "" => TEMP <=1081
25、0;WHEN "" => TEMP <=10203;WHEN "" => TEMP <=9630;WHEN "" => TEMP <=9090;WHEN "" => TEMP <=8580;WHEN "" => TEMP <=8098;WHEN "" => TEMP <=7644;WHEN "" => TEMP <=7214;WHEN "" =>
26、TEMP <=6810;WHEN "" => TEMP <=6427;WHEN "" => TEMP <=6066;WHEN "" => TEMP <=5726;WHEN "" => TEMP <=5404;WHEN "" => TEMP <=5101;WHEN "" => TEMP <=4815;WHEN "" => TEMP <=4544;WHEN "&
27、quot; => TEMP <=4289;WHEN "" => TEMP <=4049;WHEN "" => TEMP <=3821;WHEN "" => TEMP <=3607;WHEN "" => TEMP <=3404;WHEN "" => TEMP <=3232;WHEN "" => TEMP <=3033;WHEN "" => TEMP <=2863;
28、WHEN "" => TEMP <=2702;WHEN "" => TEMP <=2550;WHEN "" => TEMP <=2407;WHEN "" => TEMP <=2272;WHEN "" => TEMP <=2145;WHEN "" => TEMP <=2024;WHEN "" => TEMP <=1910;WHEN "" => TEM
29、P <=1803;WHEN "" => TEMP <=1702;WHEN "" => TEMP <=1606;WHEN "" => TEMP <=1516;WHEN "" => TEMP <=1431;WHEN "" => TEMP <=1350;WHEN "" => TEMP <=1275;WHEN "" => TEMP <=1203;WHEN "&quo
30、t; => TEMP <=1135;WHEN "" => TEMP <=1072;WHEN "" => TEMP <=1011;WHEN "" => TEMP <=955;WHEN "" => TEMP <=901;WHEN "" => TEMP <=850;WHEN "" => TEMP <=803;WHEN "" => TEMP <=757;WHEN &qu
31、ot;" => TEMP <=715;WHEN "" => TEMP <=675;WHEN "" => TEMP <=637;WHEN "" => TEMP <=601;WHEN "" => TEMP <=567;WHEN "" => TEMP <=535;WHEN "" => TEMP <=505;WHEN "" => TEMP <=477;WHEN
32、 "" => TEMP <=450;WHEN "" => TEMP <=425;WHEN "" => TEMP <=401;WHEN "" => TEMP <=378;WHEN "" => TEMP <=357;WHEN "" => TEMP <=337;WHEN "" => TEMP <=318;WHEN "" => TEMP <=300;WHEN "" => TEMP <=283;WHEN "" => TEMP <=2
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 農(nóng)民專業(yè)合作社培訓(xùn)指南
- 停車場(chǎng)智能收費(fèi)系統(tǒng)招標(biāo)
- 客戶需求調(diào)查表-個(gè)性化需求分析
- 統(tǒng)編三年級(jí)下冊(cè)《趙州橋》公開(kāi)課課件(有配套教案)
- 跨境電商 的物流
- 建筑施工現(xiàn)場(chǎng)安全監(jiān)督指南
- 外科總論練習(xí)卷附答案
- 高職護(hù)理婦產(chǎn)科復(fù)習(xí)試題
- 醫(yī)療機(jī)構(gòu)運(yùn)營(yíng)與管理作業(yè)指導(dǎo)書(shū)
- 辦公區(qū)裝修活動(dòng)策劃方案
- GB/T 5455-2014紡織品燃燒性能垂直方向損毀長(zhǎng)度、陰燃和續(xù)燃時(shí)間的測(cè)定
- GB/T 5117-2012非合金鋼及細(xì)晶粒鋼焊條
- GB/T 3782-2006乙炔炭黑
- 大國(guó)醫(yī)魂:800年滋陰派與600年大德昌課件
- 女性外陰腫瘤
- 真核生物的轉(zhuǎn)錄
- 《電商企業(yè)財(cái)務(wù)風(fēng)險(xiǎn)管理-以蘇寧易購(gòu)為例開(kāi)題報(bào)告》
- 公司組織架構(gòu)圖(可編輯模版)
- 中小學(xué)綜合實(shí)踐活動(dòng)課程指導(dǎo)綱要
- 清淤工程施工記錄表
- 黃河上游歷史大洪水市公開(kāi)課金獎(jiǎng)市賽課一等獎(jiǎng)?wù)n件
評(píng)論
0/150
提交評(píng)論