SDRAM工作原理_第1頁(yè)
SDRAM工作原理_第2頁(yè)
SDRAM工作原理_第3頁(yè)
SDRAM工作原理_第4頁(yè)
SDRAM工作原理_第5頁(yè)
已閱讀5頁(yè),還剩42頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、SDRAM工作原理工作原理記憶集團(tuán) 設(shè)計(jì)中心2006年12月12日目錄目錄v內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用v內(nèi)存系統(tǒng)結(jié)構(gòu)vSDRAM工作原理v推動(dòng)內(nèi)存技術(shù)發(fā)展的要素vMODULE設(shè)計(jì)考慮要點(diǎn)v內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用v內(nèi)存系統(tǒng)結(jié)構(gòu)vSDRAM工作原理v推動(dòng)內(nèi)存技術(shù)發(fā)展的要素vMODULE設(shè)計(jì)考慮要點(diǎn)內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用-主板示意內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用-主板系統(tǒng)內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用-內(nèi)存請(qǐng)求內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用-CPU對(duì)內(nèi)存訪問(wèn)-1內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用-CPU對(duì)內(nèi)存訪問(wèn)-2INTEL 865G MCH中的中的DRAM地址轉(zhuǎn)換地址轉(zhuǎn)換內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用-C

2、PU對(duì)內(nèi)存訪問(wèn)-3v內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用v內(nèi)存系統(tǒng)結(jié)構(gòu)內(nèi)存系統(tǒng)結(jié)構(gòu)vSDRAM工作原理v推動(dòng)內(nèi)存技術(shù)發(fā)展的要素vMODULE設(shè)計(jì)考慮要點(diǎn)內(nèi)存系統(tǒng)結(jié)構(gòu)內(nèi)存系統(tǒng)結(jié)構(gòu)-示意圖內(nèi)存系統(tǒng)結(jié)構(gòu)-DRAM內(nèi)部結(jié)構(gòu)內(nèi)存系統(tǒng)結(jié)構(gòu)-RANK獨(dú)立響應(yīng)控制信號(hào)并反饋數(shù)據(jù)的單元獨(dú)立響應(yīng)控制信號(hào)并反饋數(shù)據(jù)的單元,對(duì)對(duì)于于SDRAM一個(gè)一個(gè)RANK對(duì)應(yīng)對(duì)應(yīng)64位數(shù)據(jù)寬度位數(shù)據(jù)寬度CS#, CKE, ODT內(nèi)存系統(tǒng)結(jié)構(gòu)-BANK內(nèi)存系統(tǒng)結(jié)構(gòu)-RAW內(nèi)存系統(tǒng)結(jié)構(gòu)-COLUMN列是內(nèi)存系統(tǒng)內(nèi)部數(shù)據(jù)傳輸?shù)淖钚卧惺莾?nèi)存系統(tǒng)內(nèi)部數(shù)據(jù)傳輸?shù)淖钚卧狿AGE大小大小=2COLUMN*DRAM DATA WIDTH如如: 64Mb*

3、8 IC, 列數(shù)為列數(shù)為10, DRAM位寬為位寬為8, 則頁(yè)容量為則頁(yè)容量為210*8=8192內(nèi)存系統(tǒng)結(jié)構(gòu)-數(shù)據(jù)讀取EDE5108AGBG 64Mbx8 = 4Bank x 16KRow x 1KColum x 8 bitv內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用v內(nèi)存系統(tǒng)結(jié)構(gòu)vSDRAM工作原理工作原理v推動(dòng)內(nèi)存技術(shù)發(fā)展的要素vMODULE設(shè)計(jì)考慮要點(diǎn)SDRAM工作原理- SDR DRAM結(jié)構(gòu)ELPIDA 128M SDRAM (PD45128163)Synchronous Dynamic Random Access MemorySDRAM工作原理- 存儲(chǔ)陣列ELPIDA 128M SDRAM (PD4

4、5128163)SDRAM工作原理- 存儲(chǔ)單元-1a) open; b) shorts; c) bridgeSDRAM工作原理- 存儲(chǔ)單元-2EDE5108AGBG 尺寸尺寸11mmx11.5mm=126.5x10(-6)m2ELPIDA G DIE采用采用90nm工藝工藝, 則單則單個(gè)存儲(chǔ)單元面積為個(gè)存儲(chǔ)單元面積為:8x(90 x10(-9)m)2=64800 x10(-18)m2則則512M個(gè)單元的面積為個(gè)單元的面積為:512x106x 64800 x10(-18)m2=33.2x10(-6)m2縮小尺寸縮小尺寸: BGA- CSPSDRAM工作原理- 檢測(cè)放大器VREFl放大放大l觸發(fā)觸

5、發(fā)(交交叉耦合正叉耦合正反饋反饋)l刷新刷新EQ:保證保證初始平衡初始平衡差分結(jié)構(gòu)減少字線分布電容對(duì)差分結(jié)構(gòu)減少字線分布電容對(duì)位線的串?dāng)_位線的串?dāng)_(BL與與BL#平衡平衡)SDRAM工作原理- TRCD TRAS TRP TWR寫(xiě)入寫(xiě)入讀恢復(fù)讀恢復(fù)(刷新刷新)檢測(cè)檢測(cè)-觸發(fā)觸發(fā)(放大放大)SDRAM工作原理- 地址譯碼-1 Wafer Test Flow Pre Laser Wafer Test Search for Defect Cells & Judgement of ( PT1 Hynix ) repairable or not Hot Temp(87), All wafer t

6、est Laser Repair Defect Cells Replace with Healthy Spare Line by Fuse Cut Post Laser Wafer Test Testing to pass or fail after laser repair step ( PT2 Hynix ) Hot Temp(87), Sampling wafer test SDRAM工作原理- 地址譯碼-2HYNIX WAFER 測(cè)試流程測(cè)試流程SDRAM工作原理- 地址譯碼-3ELPIDASDRAM工作原理- 模式寄存器設(shè)置MC如何獲取設(shè)如何獲取設(shè)置值?置值?MC如何初始化如何初始化

7、DRAM?SDRAM工作原理- 狀態(tài)轉(zhuǎn)換SDRAM工作原理- DRAM初始化SDRAM工作原理- 行打開(kāi)(ACTIVATION)SDRAM工作原理- 讀操作讀打斷讀讀打斷讀寫(xiě)打斷讀寫(xiě)打斷讀T=TRCD+CL T=CL T=TRP+TRCD+CL T=TDQSSSDRAM工作原理- 寫(xiě)操作寫(xiě)打斷寫(xiě)寫(xiě)打斷寫(xiě)讀打斷寫(xiě)讀打斷寫(xiě)T=TRCD+TDQSS+TWR T=TDQSS T=TWR+TRCD+CLSDRAM工作原理- 自刷新tREF:刷新周期:刷新周期 64mstRFC:刷新指令周刷新指令周期期1030 tCKtREFI:平均刷新周平均刷新周期期 7.8usSDRAM工作原理- CKE控制Self

8、 refresh modeClock suspend modePower down modeCKE控制指令的有效控制指令的有效SDRAM工作原理- 多BANK工作TRRDSDRAM工作原理-突發(fā)讀寫(xiě)突發(fā)方式突發(fā)方式v內(nèi)存在計(jì)算機(jī)系統(tǒng)中的應(yīng)用v內(nèi)存系統(tǒng)結(jié)構(gòu)vSDRAM工作原理v推動(dòng)內(nèi)存技術(shù)發(fā)展的要素推動(dòng)內(nèi)存技術(shù)發(fā)展的要素vMODULE設(shè)計(jì)考慮要點(diǎn)SDR SDRAMDDR SDRAMDDR2 SDRAMDDR3 SDRAMDDR4 SDRAM數(shù)據(jù)傳輸頻率數(shù)據(jù)傳輸頻率66 100 133 266 333 400 MHZ400 533 667 800 MHZ1066 1333 1600 MHZIC封裝

9、封裝TSSOPTSSOP BGAFPGAFBGA字符密度字符密度64Mb512Mb64Mb1Gb256Mb4Gb512Mb4Gb 輸入時(shí)鐘輸入時(shí)鐘單端時(shí)鐘單端時(shí)鐘差分時(shí)鐘差分時(shí)鐘差分時(shí)鐘差分時(shí)鐘差分時(shí)鐘差分時(shí)鐘差分時(shí)鐘差分時(shí)鐘數(shù)據(jù)觸發(fā)數(shù)據(jù)觸發(fā)不支持不支持DQS觸發(fā)觸發(fā)差分差分DQS/DQS#差分差分DQS/DQS#接口標(biāo)準(zhǔn)接口標(biāo)準(zhǔn)LVTTLSSTL_2SSTL_18SSTL_15工作電壓工作電壓3.3 V2.5 V1.8V1.5 V1.2V/CAS 讀延遲讀延遲 2, 32, 2.5, 33,4,5=5Additive Latency (AL)NANA0,1,2,3,40,1,2,3,4 ?/

10、CAS 讀延遲讀延遲 (RL)NA=CL(2, 2.5, 3)AL+CLAL+CL/CAS 寫(xiě)延遲寫(xiě)延遲(WL)01RL-1RL-1Burst 長(zhǎng)度長(zhǎng)度(見(jiàn)見(jiàn)P17)1, 2, 4, 8, full-page (256)(可選項(xiàng)可選項(xiàng))2, 4, 84,84,8 BANK444,88 ,16DLL應(yīng)用應(yīng)用(見(jiàn)見(jiàn)P18)可選可選必須必須必須必須必須必須校準(zhǔn)模式校準(zhǔn)模式NANAOCDOCDODTNANA可選可選可選可選Data maskWrite and Read Write mask onlyWrite mask onlyWrite mask only推動(dòng)內(nèi)存技術(shù)發(fā)展的要素-各類(lèi)總線一纜DRAM

11、的字符密的字符密度增長(zhǎng)趨勢(shì)遵循度增長(zhǎng)趨勢(shì)遵循Moore定律,每定律,每?jī)赡攴槐秲赡攴槐顿Y料來(lái)源:資料來(lái)源:INTEL 2004 春季春季IDF推動(dòng)內(nèi)存技術(shù)發(fā)展的要素-速率從近年內(nèi)存速率的發(fā)從近年內(nèi)存速率的發(fā)展趨勢(shì)看,展趨勢(shì)看,DRAM的的數(shù)據(jù)速率約數(shù)據(jù)速率約3年翻一倍年翻一倍服務(wù)器系統(tǒng)的性能需服務(wù)器系統(tǒng)的性能需求要求內(nèi)存容量每求要求內(nèi)存容量每2年年翻一倍翻一倍資料來(lái)源:資料來(lái)源:INTEL 2004 春季春季IDF推動(dòng)內(nèi)存技術(shù)發(fā)展的要素-容量DRAM的工作電壓逐漸下調(diào),的工作電壓逐漸下調(diào),以降低功耗以降低功耗推動(dòng)內(nèi)存技術(shù)發(fā)展的要素-功耗SDRAM采用采用PCI BUS作為接口,信號(hào)反射強(qiáng),

12、作為接口,信號(hào)反射強(qiáng),LATENCY大,速率提升空間有限;大,速率提升空間有限;DDR SDRAM采用采用基于基于SSTL(Serial Stub Termination Logic)的總線結(jié)構(gòu))的總線結(jié)構(gòu)在在STUB BUS中,每個(gè)單獨(dú)中,每個(gè)單獨(dú)的的DIMM槽都會(huì)引入一個(gè)槽都會(huì)引入一個(gè)STUB,導(dǎo)致阻抗不連續(xù),導(dǎo)致阻抗不連續(xù),進(jìn)而影響信號(hào)的完整性進(jìn)而影響信號(hào)的完整性推動(dòng)內(nèi)存技術(shù)發(fā)展的要素-瓶頸DDR每通道最大負(fù)載數(shù)隨著每通道最大負(fù)載數(shù)隨著DRAM速率的上升而減少速率的上升而減少資料來(lái)源: HP:Memory technology evolution: an overview of syst

13、em memory technologies STUB BUS引起的阻抗不連續(xù)引起的阻抗不連續(xù)對(duì)高速信號(hào)影響尤其明顯,因?qū)Ω咚傩盘?hào)影響尤其明顯,因而而DDR速率越高,其每通道所速率越高,其每通道所允許搭載的允許搭載的DIMM也越少,進(jìn)也越少,進(jìn)而影響到內(nèi)存容量的提升而影響到內(nèi)存容量的提升INTEL Lindenhurst(2004) VS Blackford(2006)資料來(lái)源:資料來(lái)源:INTEL 2004 春季春季IDFFB-DIMM的的DIMM槽之間采用點(diǎn)到點(diǎn)槽之間采用點(diǎn)到點(diǎn)連接,從而從根本上避免了連接,從而從根本上避免了STUB,因而大幅度提高了每通道的帶載能力因而大幅度提高了每通道的

14、帶載能力推動(dòng)內(nèi)存技術(shù)發(fā)展的要素-方案DDR2 的拓?fù)浣Y(jié)構(gòu)避免避免STUBDRAM間延遲校正間延遲校正推動(dòng)內(nèi)存技術(shù)發(fā)展的要素-BGA1) 高速數(shù)字技術(shù)推動(dòng)著系統(tǒng)的全面小型化高速數(shù)字技術(shù)推動(dòng)著系統(tǒng)的全面小型化, 要求要求IC的封裝尺寸越來(lái)越小以提高集成度的封裝尺寸越來(lái)越小以提高集成度, 從從leadframe-type變遷到變遷到area-array type, 在內(nèi)存芯片上即體現(xiàn)在內(nèi)存芯片上即體現(xiàn) 為從為從 DIP-TSOP-BGA2) 小尺寸帶來(lái)的挑戰(zhàn):腳間距變小,測(cè)試和貼裝越來(lái)越困難小尺寸帶來(lái)的挑戰(zhàn):腳間距變小,測(cè)試和貼裝越來(lái)越困難DIPQFPWB-PBGAFC-PBGA不同BGA封裝的回路及插入損耗 wire bonded連接的連接的BGA, 引引線較短線較短TSOP封裝內(nèi)部封裝內(nèi)部引線較長(zhǎng)引線較長(zhǎng), 分布電分布電感感, 電容較大電容較大TSOP封裝通過(guò)外部封裝通過(guò)外部引線與引線與PCB相連相連, 這這部分引線也增加了額部分引線也增加了額外的電感外的電感BGA與與TSOP相比相比, 可以有效降低封裝內(nèi)部引線的電容和電感可以有效降低封裝內(nèi)部引線的電容和電感, 進(jìn)而有效提高進(jìn)而有效提高了了IC的適用頻率的適用頻率封裝的集總等效模型封裝的集總等效模型, 內(nèi)部引內(nèi)部引線線(wire bonded

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論